Welcome to the NetCologne GmbH open source mirroring service!

This machine mirrors various open-source projects. 20 Gbit/s uplink.

If there are any issues or you want another project mirrored, please contact mirror-service -=AT=- netcologne DOT de !

Index of /alpine/edge/testing/x86/

Index of /alpine/edge/testing/x86/


../
3proxy-0.9.4-r2.apk                                04-Apr-2025 05:40    373K
3proxy-doc-0.9.4-r2.apk                            04-Apr-2025 05:40     25K
3proxy-openrc-0.9.4-r2.apk                         04-Apr-2025 05:40    1672
66-0.8.0.2-r0.apk                                  11-Feb-2025 22:17    259K
66-dev-0.8.0.2-r0.apk                              11-Feb-2025 22:17    412K
66-doc-0.8.0.2-r0.apk                              11-Feb-2025 22:17    168K
66-init-0.8.0.2-r0.apk                             11-Feb-2025 22:17    1517
66-tools-0.1.1.0-r0.apk                            12-Jan-2025 13:12     52K
66-tools-dev-0.1.1.0-r0.apk                        12-Jan-2025 13:12    1824
66-tools-doc-0.1.1.0-r0.apk                        12-Jan-2025 13:12     39K
66-tools-nsrules-0.1.1.0-r0.apk                    12-Jan-2025 13:12    2874
9base-6-r2.apk                                     25-Oct-2024 20:10      2M
9base-doc-6-r2.apk                                 25-Oct-2024 20:10     64K
9base-troff-6-r2.apk                               25-Oct-2024 20:10    487K
APKINDEX.tar.gz                                    25-Apr-2025 07:39    906K
a2jmidid-9-r3.apk                                  25-Oct-2024 20:10     31K
a2jmidid-doc-9-r3.apk                              25-Oct-2024 20:10    4288
abc-0_git20240102-r0.apk                           25-Oct-2024 20:10      5M
ace-of-penguins-1.4-r3.apk                         25-Oct-2024 20:10    156K
ace-of-penguins-doc-1.4-r3.apk                     25-Oct-2024 20:10     49K
acmetool-0.2.2-r12.apk                             12-Apr-2025 03:08      5M
acmetool-doc-0.2.2-r12.apk                         12-Apr-2025 03:08     47K
adbd-0_git20250325-r0.apk                          14-Apr-2025 17:11     46K
adguardhome-0.107.61-r0.apk                        23-Apr-2025 22:52     11M
adguardhome-openrc-0.107.61-r0.apk                 23-Apr-2025 22:52    2245
adjtimex-1.29-r0.apk                               25-Oct-2024 20:10     21K
adjtimex-doc-1.29-r0.apk                           25-Oct-2024 20:10    7258
admesh-0.98.5-r0.apk                               25-Oct-2024 20:10     27K
admesh-dev-0.98.5-r0.apk                           25-Oct-2024 20:10    4140
admesh-doc-0.98.5-r0.apk                           25-Oct-2024 20:10     23K
advancemame-3.9-r4.apk                             25-Oct-2024 20:10     12M
advancemame-data-3.9-r4.apk                        25-Oct-2024 20:10      6M
advancemame-doc-3.9-r4.apk                         25-Oct-2024 20:10    374K
advancemame-menu-3.9-r4.apk                        25-Oct-2024 20:10    953K
advancemame-mess-3.9-r4.apk                        25-Oct-2024 20:10      4M
advancescan-1.18-r1.apk                            25-Oct-2024 20:10    284K
advancescan-doc-1.18-r1.apk                        25-Oct-2024 20:10    7442
afetch-2.2.0-r1.apk                                25-Oct-2024 20:10    9542
afetch-doc-2.2.0-r1.apk                            25-Oct-2024 20:10     14K
agate-3.3.8-r0.apk                                 25-Oct-2024 20:10    734K
agate-openrc-3.3.8-r0.apk                          25-Oct-2024 20:10    2031
agrep-0.8.0-r2.apk                                 25-Oct-2024 20:10    8264
agrep-doc-0.8.0-r2.apk                             25-Oct-2024 20:10    4210
aide-0.18.8-r0.apk                                 25-Oct-2024 20:10     77K
aide-doc-0.18.8-r0.apk                             25-Oct-2024 20:10     14K
ajv-cli-6.0.0-r0.apk                               20-Feb-2025 22:45    158K
ajv-cli-doc-6.0.0-r0.apk                           20-Feb-2025 22:45    2295
alarmwakeup-0.2.1-r0.apk                           25-Oct-2024 20:10    7881
alarmwakeup-dbg-0.2.1-r0.apk                       25-Oct-2024 20:10     17K
alarmwakeup-dev-0.2.1-r0.apk                       25-Oct-2024 20:10    2616
alarmwakeup-libs-0.2.1-r0.apk                      25-Oct-2024 20:10    4681
alarmwakeup-utils-0.2.1-r0.apk                     25-Oct-2024 20:10    4335
alpine-lift-0.2.0-r22.apk                          12-Apr-2025 03:08      4M
alps-0_git20230807-r11.apk                         12-Apr-2025 03:08      6M
alps-openrc-0_git20230807-r11.apk                  12-Apr-2025 03:08    2066
alttab-1.7.1-r0.apk                                25-Oct-2024 20:10     37K
alttab-doc-1.7.1-r0.apk                            25-Oct-2024 20:10     10K
amber-0.3.3-r0.apk                                 25-Oct-2024 20:10    415K
amber-mpris-1.2.9-r0.apk                           22-Dec-2024 17:04    227K
amber-mpris-dev-1.2.9-r0.apk                       22-Dec-2024 17:04    6877
amdgpu-fan-0.1.0-r5.apk                            25-Oct-2024 20:10     14K
amdgpu-fan-pyc-0.1.0-r5.apk                        25-Oct-2024 20:10    9846
amiitool-2-r2.apk                                  25-Oct-2024 20:10    8161
ampy-1.1.0-r6.apk                                  19-Mar-2025 11:45     15K
ampy-doc-1.1.0-r6.apk                              19-Mar-2025 11:45    4195
ampy-pyc-1.1.0-r6.apk                              19-Mar-2025 11:45     19K
anarch-1.0-r1.apk                                  25-Oct-2024 20:10     97K
anarch-doc-1.0-r1.apk                              25-Oct-2024 20:10     18K
anari-sdk-0.7.2-r0.apk                             25-Oct-2024 20:10    299K
anari-sdk-dev-0.7.2-r0.apk                         25-Oct-2024 20:10     59K
anari-sdk-static-0.7.2-r0.apk                      25-Oct-2024 20:10    185K
android-apkeep-0.17.0-r0.apk                       25-Oct-2024 20:10      2M
android-file-transfer-4.3-r1.apk                   26-Jan-2025 19:50    194K
android-file-transfer-cli-4.3-r1.apk               26-Jan-2025 19:50    118K
android-file-transfer-dev-4.3-r1.apk               26-Jan-2025 19:50    1554
android-file-transfer-libs-4.3-r1.apk              26-Jan-2025 19:50    136K
android-translation-layer-0_git20250402-r0.apk     14-Apr-2025 17:11      3M
android-translation-layer-dbg-0_git20250402-r0.apk 14-Apr-2025 17:11    734K
angband-4.2.5-r0.apk                               25-Oct-2024 20:10     23M
ansible-bender-0.10.1-r2.apk                       25-Oct-2024 20:10     36K
ansible-bender-doc-0.10.1-r2.apk                   25-Oct-2024 20:10     10K
ansible-bender-pyc-0.10.1-r2.apk                   25-Oct-2024 20:10     65K
ansiweather-1.19.0-r1.apk                          25-Oct-2024 20:10    4836
ansiweather-doc-1.19.0-r1.apk                      25-Oct-2024 20:10    3097
antibody-6.1.1-r27.apk                             12-Apr-2025 03:08      2M
antimicrox-3.4.0-r0.apk                            25-Oct-2024 20:10      2M
antimicrox-doc-3.4.0-r0.apk                        25-Oct-2024 20:10     23K
anubis-1.16.0-r0.apk                               19-Apr-2025 23:28      4M
anubis-doc-1.16.0-r0.apk                           19-Apr-2025 23:28    2319
aoetools-37-r2.apk                                 14-Jan-2025 16:36     23K
aoetools-doc-37-r2.apk                             14-Jan-2025 16:36     14K
apache-mod-auth-gssapi-1.6.5-r1.apk                25-Oct-2024 20:10     58K
apache-mod-auth-openidc-2.4.16.11-r0.apk           20-Apr-2025 05:16    212K
apache-mod-auth-openidc-doc-2.4.16.11-r0.apk       20-Apr-2025 05:16    4557
apache-mod-auth-openidc-static-2.4.16.11-r0.apk    20-Apr-2025 05:16    268K
apache2-mod-authnz-external-3.3.3-r0.apk           25-Oct-2024 20:10    8516
apache2-mod-authnz-external-doc-3.3.3-r0.apk       25-Oct-2024 20:10     10K
apache2-mod-perl-2.0.13-r1.apk                     25-Oct-2024 20:10    698K
apache2-mod-perl-dbg-2.0.13-r1.apk                 25-Oct-2024 20:10     66K
apache2-mod-perl-dev-2.0.13-r1.apk                 25-Oct-2024 20:10     40K
apache2-mod-perl-doc-2.0.13-r1.apk                 25-Oct-2024 20:10    303K
apache2-mod-realdoc-1-r1.apk                       25-Oct-2024 20:10    5172
apk-autoupdate-0_git20210421-r1.apk                18-Nov-2024 18:00     13K
apk-autoupdate-doc-0_git20210421-r1.apk            18-Nov-2024 18:00    7123
apk-snap-3.1.1-r0.apk                              25-Oct-2024 20:10    6807
apk-snap-doc-3.1.1-r0.apk                          25-Oct-2024 20:10     20K
apk-tools3-3.0.0_rc4_git20250320-r0.apk            24-Mar-2025 11:33     55K
apk-tools3-dbg-3.0.0_rc4_git20250320-r0.apk        24-Mar-2025 11:33    612K
apk-tools3-dev-3.0.0_rc4_git20250320-r0.apk        24-Mar-2025 11:33     24K
apk-tools3-doc-3.0.0_rc4_git20250320-r0.apk        24-Mar-2025 11:33     44K
apk-tools3-static-3.0.0_rc4_git20250320-r0.apk     24-Mar-2025 11:33      2M
apmpkg-1.5.1-r3.apk                                25-Oct-2024 20:10      2M
apmpkg-bash-completion-1.5.1-r3.apk                25-Oct-2024 20:10    2240
apmpkg-doc-1.5.1-r3.apk                            25-Oct-2024 20:10    3124
apmpkg-fish-completion-1.5.1-r3.apk                25-Oct-2024 20:10    2117
apmpkg-zsh-completion-1.5.1-r3.apk                 25-Oct-2024 20:10    2502
aports-glmr-0.2-r27.apk                            12-Apr-2025 03:08      3M
appcenter-8.0.0-r0.apk                             12-Nov-2024 21:55    411K
appcenter-lang-8.0.0-r0.apk                        12-Nov-2024 21:55    258K
aprilsh-0.7.12-r4.apk                              12-Apr-2025 03:08    1686
aprilsh-client-0.7.12-r4.apk                       12-Apr-2025 03:08      3M
aprilsh-doc-0.7.12-r4.apk                          12-Apr-2025 03:08     14K
aprilsh-openrc-0.7.12-r4.apk                       12-Apr-2025 03:08    1874
aprilsh-server-0.7.12-r4.apk                       12-Apr-2025 03:08      3M
apt-dater-1.0.4-r4.apk                             25-Oct-2024 20:10     58K
apt-dater-doc-1.0.4-r4.apk                         25-Oct-2024 20:10     10K
apt-dater-lang-1.0.4-r4.apk                        25-Oct-2024 20:10     13K
apt-mirror-0.5.4-r0.apk                            25-Oct-2024 20:10    9673
apt-mirror-doc-0.5.4-r0.apk                        25-Oct-2024 20:10    4740
aptdec-1.8.0-r1.apk                                08-Feb-2025 23:44     87K
aptdec-dev-1.8.0-r1.apk                            08-Feb-2025 23:44    3505
aptdec-libs-1.8.0-r1.apk                           08-Feb-2025 23:44     16K
apulse-0.1.13-r2.apk                               25-Oct-2024 20:10     43K
apulse-doc-0.1.13-r2.apk                           25-Oct-2024 20:10    2825
aqemu-0.9.4-r3.apk                                 25-Oct-2024 20:10      2M
aqemu-doc-0.9.4-r3.apk                             25-Oct-2024 20:10    7699
aravis-0.8.31-r0.apk                               25-Oct-2024 20:10     46K
aravis-dev-0.8.31-r0.apk                           25-Oct-2024 20:10     34K
aravis-libs-0.8.31-r0.apk                          25-Oct-2024 20:10    189K
aravis-viewer-0.8.31-r0.apk                        25-Oct-2024 20:10     70K
aravis-viewer-lang-0.8.31-r0.apk                   25-Oct-2024 20:10     16K
arc-20221218-r0.apk                                25-Oct-2024 20:10    1790
arc-cinnamon-20221218-r0.apk                       25-Oct-2024 20:10     68K
arc-dark-20221218-r0.apk                           25-Oct-2024 20:10    1797
arc-dark-cinnamon-20221218-r0.apk                  25-Oct-2024 20:10     68K
arc-dark-gnome-20221218-r0.apk                     25-Oct-2024 20:10     27K
arc-dark-gtk2-20221218-r0.apk                      25-Oct-2024 20:10     38K
arc-dark-gtk3-20221218-r0.apk                      25-Oct-2024 20:10     93K
arc-dark-gtk4-20221218-r0.apk                      25-Oct-2024 20:10     86K
arc-dark-metacity-20221218-r0.apk                  25-Oct-2024 20:10     17K
arc-dark-xfwm-20221218-r0.apk                      25-Oct-2024 20:10    8059
arc-darker-20221218-r0.apk                         25-Oct-2024 20:10    1808
arc-darker-gtk2-20221218-r0.apk                    25-Oct-2024 20:10     39K
arc-darker-gtk3-20221218-r0.apk                    25-Oct-2024 20:10    124K
arc-darker-gtk4-20221218-r0.apk                    25-Oct-2024 20:10    110K
arc-darker-metacity-20221218-r0.apk                25-Oct-2024 20:10     17K
arc-darker-xfwm-20221218-r0.apk                    25-Oct-2024 20:10    8061
arc-gnome-20221218-r0.apk                          25-Oct-2024 20:10     29K
arc-gtk2-20221218-r0.apk                           25-Oct-2024 20:10     38K
arc-gtk3-20221218-r0.apk                           25-Oct-2024 20:10    126K
arc-gtk4-20221218-r0.apk                           25-Oct-2024 20:10    114K
arc-icon-theme-20161122-r0.apk                     25-Oct-2024 20:10      4M
arc-lighter-20221218-r0.apk                        25-Oct-2024 20:10    1809
arc-lighter-gtk2-20221218-r0.apk                   25-Oct-2024 20:10     38K
arc-lighter-gtk3-20221218-r0.apk                   25-Oct-2024 20:10    125K
arc-lighter-gtk4-20221218-r0.apk                   25-Oct-2024 20:10    113K
arc-lighter-metacity-20221218-r0.apk               25-Oct-2024 20:10     17K
arc-lighter-xfwm-20221218-r0.apk                   25-Oct-2024 20:10    7907
arc-metacity-20221218-r0.apk                       25-Oct-2024 20:10     17K
arc-theme-20221218-r0.apk                          25-Oct-2024 20:10    1481
arc-xfwm-20221218-r0.apk                           25-Oct-2024 20:10    7879
armagetronad-0.2.9.1.1-r0.apk                      25-Oct-2024 20:10      2M
armagetronad-doc-0.2.9.1.1-r0.apk                  25-Oct-2024 20:10     92K
art_standalone-0_git20250325-r0.apk                14-Apr-2025 17:11     19M
art_standalone-dbg-0_git20250325-r0.apk            14-Apr-2025 17:11    131M
art_standalone-dev-0_git20250325-r0.apk            14-Apr-2025 17:11      9M
asdf-0.16.7-r1.apk                                 12-Apr-2025 03:08      5M
asdf-doc-0.16.7-r1.apk                             12-Apr-2025 03:08    2299
aspell-es-1.11-r0.apk                              25-Oct-2024 20:10    533K
asymptote-2.91-r0.apk                              25-Oct-2024 20:10      1M
asymptote-doc-2.91-r0.apk                          25-Oct-2024 20:10      3M
atac-0.18.1-r0.apk                                 25-Nov-2024 21:58      5M
atlantik-3.5.10_git20240323-r0.apk                 25-Oct-2024 20:10    396K
atlantik-doc-3.5.10_git20240323-r0.apk             25-Oct-2024 20:10     79K
atlantik-lang-3.5.10_git20240323-r0.apk            25-Oct-2024 20:10     69K
atomicparsley-20240608-r0.apk                      25-Oct-2024 20:10    112K
atool-0.39.0-r4.apk                                25-Oct-2024 20:10     18K
atool-bash-completion-0.39.0-r4.apk                25-Oct-2024 20:10    2092
atool-doc-0.39.0-r4.apk                            25-Oct-2024 20:10    9836
ats2-0.4.2-r0.apk                                  25-Oct-2024 20:10      2M
aufs-util-20161219-r3.apk                          25-Oct-2024 20:10    189K
aufs-util-dev-20161219-r3.apk                      25-Oct-2024 20:10    1494
aufs-util-doc-20161219-r3.apk                      25-Oct-2024 20:10     34K
authenticator-rs-0.7.5-r0.apk                      25-Oct-2024 20:10      2M
authenticator-rs-lang-0.7.5-r0.apk                 25-Oct-2024 20:10    3826
autoconf-policy-0.1-r0.apk                         25-Oct-2024 20:10    5613
autoremove-torrents-1.5.5-r0.apk                   25-Oct-2024 20:10     35K
autoremove-torrents-doc-1.5.5-r0.apk               25-Oct-2024 20:10     12K
autoremove-torrents-pyc-1.5.5-r0.apk               25-Oct-2024 20:10     54K
autorestic-1.8.3-r4.apk                            12-Apr-2025 03:08      4M
autotrash-0.4.7-r0.apk                             25-Oct-2024 20:10     23K
autotrash-pyc-0.4.7-r0.apk                         25-Oct-2024 20:10     14K
avahi2dns-0.0.1_git20240102-r6.apk                 12-Apr-2025 03:08      3M
avahi2dns-openrc-0.0.1_git20240102-r6.apk          12-Apr-2025 03:08    1817
avara-0.7.1-r1.apk                                 04-Nov-2024 08:53     21M
avarice-2.14-r4.apk                                25-Oct-2024 20:10     65K
avarice-doc-2.14-r4.apk                            25-Oct-2024 20:10    9672
avida-0_git20190813-r1.apk                         25-Oct-2024 20:10      2M
avra-1.4.2-r0.apk                                  25-Oct-2024 20:10     39K
avra-dev-1.4.2-r0.apk                              25-Oct-2024 20:10    255K
aws-lc-1.49.1-r1.apk                               12-Apr-2025 03:08   1010K
aws-lc-dev-1.49.1-r1.apk                           12-Apr-2025 03:08    412K
aws-lc-tools-1.49.1-r1.apk                         12-Apr-2025 03:08    136K
azote-1.14.0-r0.apk                                14-Dec-2024 20:38      8M
azote-pyc-1.14.0-r0.apk                            14-Dec-2024 20:38     98K
azpainter-3.0.11-r0.apk                            22-Feb-2025 11:10    895K
azpainter-doc-3.0.11-r0.apk                        22-Feb-2025 11:10     42K
azure-iot-sdk-c-static-1.11.0-r0.apk               25-Oct-2024 20:10    756K
b2-tools-4.2.0-r0.apk                              12-Jan-2025 13:27     72K
b2-tools-pyc-4.2.0-r0.apk                          12-Jan-2025 13:27    135K
b2sum-20190729-r2.apk                              25-Oct-2024 20:10     16K
b2sum-doc-20190729-r2.apk                          25-Oct-2024 20:10    2800
backup-manager-0.7.15-r1.apk                       25-Oct-2024 20:10     55K
baikal-0.10.1-r0.apk                               10-Nov-2024 17:10      1M
baikal-mysql-0.10.1-r0.apk                         10-Nov-2024 17:10    1258
baikal-pgsql-0.10.1-r0.apk                         10-Nov-2024 17:10    1263
baikal-sqlite-0.10.1-r0.apk                        10-Nov-2024 17:10    1410
bake-2.5.1-r0.apk                                  25-Oct-2024 20:10    121K
bakelite-0.4.2-r0.apk                              25-Oct-2024 20:10     44K
bananui-2.0.0-r0.apk                               25-Oct-2024 20:10     55K
bananui-clock-0.1.0-r0.apk                         25-Oct-2024 20:10    7405
bananui-daemons-0.1.0-r0.apk                       25-Oct-2024 20:10     46K
bananui-dbg-2.0.0-r0.apk                           25-Oct-2024 20:10    156K
bananui-demos-2.0.0-r0.apk                         25-Oct-2024 20:10    9087
bananui-dev-2.0.0-r0.apk                           25-Oct-2024 20:10     84K
bananui-shell-0.2.0-r0.apk                         25-Oct-2024 20:10    103K
bankstown-lv2-1.1.0-r0.apk                         25-Oct-2024 20:10    163K
baresip-3.20.0-r0.apk                              25-Feb-2025 12:48      1M
baresip-dev-3.20.0-r0.apk                          25-Feb-2025 12:48     16K
barman-3.13.0-r0.apk                               25-Feb-2025 06:30    345K
barman-bash-completion-3.13.0-r0.apk               25-Feb-2025 06:30    1619
barman-doc-3.13.0-r0.apk                           25-Feb-2025 06:30     80K
barman-pyc-3.13.0-r0.apk                           25-Feb-2025 06:30    550K
barnyard2-2.1.14_git20160413-r1.apk                25-Oct-2024 20:10    141K
barnyard2-openrc-2.1.14_git20160413-r1.apk         25-Oct-2024 20:10    2827
barrier-2.4.0-r2.apk                               17-Feb-2025 09:34   1013K
barrier-doc-2.4.0-r2.apk                           17-Feb-2025 09:34     13K
bartib-1.0.1-r1.apk                                25-Oct-2024 20:10    365K
base64c-0.2.1-r0.apk                               25-Oct-2024 20:10    4543
base64c-dev-0.2.1-r0.apk                           25-Oct-2024 20:10    5584
batmon-0.0.1-r0.apk                                25-Oct-2024 20:10    448K
bcg729-1.1.1-r0.apk                                25-Oct-2024 20:10     34K
bcg729-dev-1.1.1-r0.apk                            25-Oct-2024 20:10    3546
bchunk-1.2.2-r3.apk                                25-Oct-2024 20:10    7414
bchunk-doc-1.2.2-r3.apk                            25-Oct-2024 20:10    3068
bdfr-2.6.2-r1.apk                                  25-Oct-2024 20:10    131K
beancount-language-server-1.3.6-r0.apk             05-Nov-2024 23:38      1M
beard-0.4-r0.apk                                   25-Oct-2024 20:10    3216
beard-doc-0.4-r0.apk                               25-Oct-2024 20:10    2537
bees-0.10-r2.apk                                   25-Oct-2024 20:10    330K
bees-openrc-0.10-r2.apk                            25-Oct-2024 20:10    1990
belcard-5.3.105-r0.apk                             25-Feb-2025 12:48     12K
belcard-dev-5.3.105-r0.apk                         25-Feb-2025 12:48     11K
belcard-libs-5.3.105-r0.apk                        25-Feb-2025 12:48    222K
belle-sip-5.3.105-r0.apk                           25-Feb-2025 12:51    639K
belle-sip-dev-5.3.105-r0.apk                       25-Feb-2025 12:51     54K
belr-5.3.105-r0.apk                                25-Feb-2025 12:52    117K
belr-dev-5.3.105-r0.apk                            25-Feb-2025 12:52     15K
berry-lang-1.1.0-r0.apk                            25-Oct-2024 20:10    127K
bestline-0.0_git20211108-r0.apk                    25-Oct-2024 20:10     22K
bestline-dev-0.0_git20211108-r0.apk                25-Oct-2024 20:10    1720
bestline-doc-0.0_git20211108-r0.apk                25-Oct-2024 20:11     18M
bettercap-2.41.0-r0.apk                            12-Apr-2025 13:03     19M
bettercap-doc-2.41.0-r0.apk                        12-Apr-2025 13:03     14K
bgpq4-1.15-r0.apk                                  25-Oct-2024 20:11     32K
bgpq4-doc-1.15-r0.apk                              25-Oct-2024 20:11    6494
bgs-0.8-r1.apk                                     25-Oct-2024 20:11    5752
bgs-doc-0.8-r1.apk                                 25-Oct-2024 20:11    2358
bim-3.1.0-r0.apk                                   19-Apr-2025 20:59    286K
bindfs-1.17.7-r0.apk                               03-Jan-2025 14:34     22K
bindfs-doc-1.17.7-r0.apk                           03-Jan-2025 14:34    9220
biometryd-0.3.1-r7.apk                             17-Feb-2025 09:34    327K
biometryd-dev-0.3.1-r7.apk                         17-Feb-2025 09:34     13K
bionic_translation-0_git20250324-r0.apk            14-Apr-2025 17:11     50K
bionic_translation-dbg-0_git20250324-r0.apk        14-Apr-2025 17:11    122K
bionic_translation-dev-0_git20250324-r0.apk        14-Apr-2025 17:11    1802
bird3-3.1.0-r0.apk                                 12-Apr-2025 03:08    562K
bird3-dbg-3.1.0-r0.apk                             12-Apr-2025 03:08      2M
bird3-openrc-3.1.0-r0.apk                          12-Apr-2025 03:08    2417
birdtray-1.9.0-r1.apk                              25-Oct-2024 20:11    421K
bitlbee-facebook-1.2.2-r0.apk                      25-Oct-2024 20:11     67K
bitlbee-mastodon-1.4.5-r0.apk                      25-Oct-2024 20:11     52K
bitritter-0.1.1-r0.apk                             25-Oct-2024 20:11      2M
bkt-0.8.0-r0.apk                                   25-Oct-2024 20:11    365K
bkt-doc-0.8.0-r0.apk                               25-Oct-2024 20:11    7428
blackbox-1.20220610-r1.apk                         25-Oct-2024 20:11     16K
blip-0.10-r0.apk                                   25-Oct-2024 20:11     15K
blip-doc-0.10-r0.apk                               25-Oct-2024 20:11     30K
bliss-0.77-r1.apk                                  25-Oct-2024 20:11     75K
bliss-dev-0.77-r1.apk                              25-Oct-2024 20:11    113K
bobcat-4.09.00-r0.apk                              25-Oct-2024 20:11    730K
bobcat-dev-4.09.00-r0.apk                          25-Oct-2024 20:11    651K
bobcat-doc-4.09.00-r0.apk                          25-Oct-2024 20:11    345K
bochs-2.8-r1.apk                                   20-Feb-2025 18:40    944K
bochs-doc-2.8-r1.apk                               20-Feb-2025 18:40    139K
boinc-7.24.3-r0.apk                                25-Oct-2024 20:11      2M
boinc-dev-7.24.3-r0.apk                            25-Oct-2024 20:11    590K
boinc-doc-7.24.3-r0.apk                            25-Oct-2024 20:11    8186
boinc-gui-7.24.3-r0.apk                            25-Oct-2024 20:11      1M
boinc-lang-7.24.3-r0.apk                           25-Oct-2024 20:11    877K
boinc-libs-7.24.3-r0.apk                           25-Oct-2024 20:11    214K
boinc-screensaver-7.24.3-r0.apk                    25-Oct-2024 20:11    141K
bomctl-0.1.9-r5.apk                                12-Apr-2025 03:08     10M
bomctl-bash-completion-0.1.9-r5.apk                12-Apr-2025 03:08    5262
bomctl-fish-completion-0.1.9-r5.apk                12-Apr-2025 03:08    4451
bomctl-zsh-completion-0.1.9-r5.apk                 12-Apr-2025 03:08    4163
bonzomatic-20230615-r0.apk                         25-Oct-2024 20:11    649K
bootchart2-0.14.9-r0.apk                           03-Jan-2025 14:34    137K
bootinfo-0.1.0-r4.apk                              25-Oct-2024 20:11     19K
bootinfo-pyc-0.1.0-r4.apk                          25-Oct-2024 20:11    8443
bootloose-0.7.1-r10.apk                            12-Apr-2025 03:08      2M
bootterm-0.5-r0.apk                                25-Oct-2024 20:11     20K
bootterm-dbg-0.5-r0.apk                            25-Oct-2024 20:11    2388
bore-0.5.2-r0.apk                                  15-Dec-2024 19:26    566K
boson-0_git20211219-r0.apk                         25-Oct-2024 20:11     19K
boxed-cpp-1.4.3-r0.apk                             25-Oct-2024 20:11    1216
boxed-cpp-dev-1.4.3-r0.apk                         25-Oct-2024 20:11    6375
boxed-cpp-doc-1.4.3-r0.apk                         25-Oct-2024 20:11    5577
boxes-2.3.1-r0.apk                                 25-Oct-2024 20:11     82K
boxes-doc-2.3.1-r0.apk                             25-Oct-2024 20:11    7285
brial-1.2.11-r4.apk                                25-Oct-2024 20:11      1M
brial-dev-1.2.11-r4.apk                            25-Oct-2024 20:11      2M
brltty-6.7-r1.apk                                  29-Mar-2025 15:58      2M
brltty-dev-6.7-r1.apk                              29-Mar-2025 15:58    140K
brltty-doc-6.7-r1.apk                              29-Mar-2025 15:58    9615
brltty-lang-6.7-r1.apk                             29-Mar-2025 15:58    149K
brltty-static-6.7-r1.apk                           29-Mar-2025 15:58     24K
btfs-2.24-r12.apk                                  25-Oct-2024 20:11     32K
btfs-doc-2.24-r12.apk                              25-Oct-2024 20:11    2443
btpd-0.16-r2.apk                                   25-Oct-2024 20:11     91K
btpd-doc-0.16-r2.apk                               25-Oct-2024 20:11    8599
buildcache-0.28.9-r0.apk                           25-Oct-2024 20:11    847K
bump2version-1.0.1-r6.apk                          25-Oct-2024 20:11     21K
bump2version-pyc-1.0.1-r6.apk                      25-Oct-2024 20:11     29K
burp-3.1.4-r0.apk                                  25-Oct-2024 20:11    203K
burp-doc-3.1.4-r0.apk                              25-Oct-2024 20:11     99K
burp-server-3.1.4-r0.apk                           25-Oct-2024 20:11     36K
butane-0.22.0-r4.apk                               12-Apr-2025 03:08      3M
bwrap-oci-0.2-r1.apk                               25-Oct-2024 20:11     17K
bwrap-oci-doc-0.2-r1.apk                           25-Oct-2024 20:11    2547
c2rust-0.20.0-r0.apk                               19-Mar-2025 11:45      2M
cadence-0.9.2-r0.apk                               25-Oct-2024 20:11      2M
caffeine-ng-4.2.0-r1.apk                           25-Oct-2024 20:11    100K
caffeine-ng-doc-4.2.0-r1.apk                       25-Oct-2024 20:11    3234
caffeine-ng-lang-4.2.0-r1.apk                      25-Oct-2024 20:11     34K
caja-gtkhash-plugin-1.5-r0.apk                     25-Oct-2024 20:11     28K
capnet-assist-8.0.0-r0.apk                         14-Apr-2025 10:08     45K
capnet-assist-lang-8.0.0-r0.apk                    14-Apr-2025 10:08     37K
caps2esc-0.3.2-r0.apk                              25-Oct-2024 20:11    4727
care-2.3.0-r1.apk                                  25-Oct-2024 20:11    102K
care-doc-2.3.0-r1.apk                              25-Oct-2024 20:11    8076
cargo-credential-oo7-0.4.3-r1.apk                  20-Apr-2025 20:35    827K
cargo-crev-0.26.3-r0.apk                           02-Mar-2025 16:14      6M
cargo-expand-1.0.106-r0.apk                        07-Apr-2025 20:03      2M
cargo-expand-doc-1.0.106-r0.apk                    07-Apr-2025 20:03    5791
cargo-flamegraph-0.6.7-r0.apk                      13-Jan-2025 11:49      1M
cargo-flamegraph-bash-completion-0.6.7-r0.apk      13-Jan-2025 11:49    2388
cargo-flamegraph-doc-0.6.7-r0.apk                  13-Jan-2025 11:49     15K
cargo-flamegraph-fish-completion-0.6.7-r0.apk      13-Jan-2025 11:49    2566
cargo-flamegraph-zsh-completion-0.6.7-r0.apk       13-Jan-2025 11:49    2925
cargo-generate-0.22.1-r0.apk                       24-Feb-2025 15:10      2M
cargo-machete-0.7.0-r0.apk                         28-Dec-2024 18:16      1M
cargo-machete-doc-0.7.0-r0.apk                     28-Dec-2024 18:16    4043
cargo-run-bin-1.7.2-r0.apk                         25-Oct-2024 20:11    448K
cargo-run-bin-doc-1.7.2-r0.apk                     25-Oct-2024 20:11    5189
cargo-show-asm-0.2.49-r0.apk                       13-Apr-2025 10:43    857K
cargo-show-asm-doc-0.2.49-r0.apk                   13-Apr-2025 10:43     10K
cargo-shuttle-0.53.0-r0.apk                        13-Mar-2025 17:15      4M
cargo-shuttle-bash-completion-0.53.0-r0.apk        13-Mar-2025 17:15    4816
cargo-shuttle-doc-0.53.0-r0.apk                    13-Mar-2025 17:15    9272
cargo-shuttle-fish-completion-0.53.0-r0.apk        13-Mar-2025 17:15    7549
cargo-shuttle-zsh-completion-0.53.0-r0.apk         13-Mar-2025 17:15    7486
cargo-sort-1.1.0-r0.apk                            23-Mar-2025 13:52    436K
cargo-sqlx-0.8.5-r0.apk                            17-Apr-2025 20:20      2M
cargo-udeps-0.1.55-r1.apk                          24-Feb-2025 15:10      5M
cargo-udeps-doc-0.1.55-r1.apk                      24-Feb-2025 15:10    7598
cargo-update-16.2.1-r0.apk                         23-Mar-2025 13:24      1M
cargo-update-doc-16.2.1-r0.apk                     23-Mar-2025 13:24    8480
cargo-vendor-filterer-0.5.9-r1.apk                 25-Oct-2024 20:11    458K
castero-0.9.5-r3.apk                               25-Oct-2024 20:11     52K
castero-pyc-0.9.5-r3.apk                           25-Oct-2024 20:11     95K
castor-0.9.0-r2.apk                                25-Oct-2024 20:11    711K
catcodec-1.0.5-r2.apk                              25-Oct-2024 20:11     14K
catcodec-doc-1.0.5-r2.apk                          25-Oct-2024 20:11    5030
catdoc-0.95-r1.apk                                 25-Oct-2024 20:11    112K
catdoc-doc-0.95-r1.apk                             25-Oct-2024 20:11    9470
catfish-4.20.0-r0.apk                              27-Dec-2024 10:36    126K
catfish-doc-4.20.0-r0.apk                          27-Dec-2024 10:36     13K
catfish-lang-4.20.0-r0.apk                         27-Dec-2024 10:36    162K
catfish-pyc-4.20.0-r0.apk                          27-Dec-2024 10:36    101K
cava-0.10.4-r1.apk                                 17-Feb-2025 22:17     42K
cbqn-0.9.0-r0.apk                                  25-Mar-2025 15:47    991K
cc65-2.19-r0.apk                                   25-Oct-2024 20:11      9M
ccrtp-2.1.2-r0.apk                                 25-Oct-2024 20:11    112K
ccrtp-dev-2.1.2-r0.apk                             25-Oct-2024 20:11     53K
ccrtp-doc-2.1.2-r0.apk                             25-Oct-2024 20:11     31K
ccze-0.2.1-r1.apk                                  25-Oct-2024 20:11     51K
ccze-dev-0.2.1-r1.apk                              25-Oct-2024 20:11    3399
ccze-doc-0.2.1-r1.apk                              25-Oct-2024 20:11    9054
cdba-1.0-r2.apk                                    25-Oct-2024 20:11    8152
cdba-server-1.0-r2.apk                             25-Oct-2024 20:11     22K
cddlib-0.94m-r2.apk                                25-Oct-2024 20:11    219K
cddlib-dev-0.94m-r2.apk                            25-Oct-2024 20:11     14K
cddlib-doc-0.94m-r2.apk                            25-Oct-2024 20:11    864K
cddlib-static-0.94m-r2.apk                         25-Oct-2024 20:11    285K
cddlib-tools-0.94m-r2.apk                          25-Oct-2024 20:11     36K
cdist-7.0.0-r6.apk                                 25-Oct-2024 20:11    511K
cdist-pyc-7.0.0-r6.apk                             25-Oct-2024 20:11    128K
cdogs-sdl-2.1.0-r0.apk                             25-Oct-2024 20:11     34M
certbot-dns-njalla-2.0.0-r0.apk                    27-Nov-2024 23:05    9525
certbot-dns-njalla-pyc-2.0.0-r0.apk                27-Nov-2024 23:05    4313
certbot-dns-pdns-0.1.1-r0.apk                      25-Oct-2024 20:11    9063
certbot-dns-pdns-pyc-0.1.1-r0.apk                  25-Oct-2024 20:11    3960
certigo-1.16.0-r22.apk                             12-Apr-2025 03:08      4M
certstrap-1.3.0-r23.apk                            12-Apr-2025 03:08      2M
cfssl-1.6.5-r4.apk                                 12-Apr-2025 03:08     30M
cgiirc-0.5.12-r1.apk                               25-Oct-2024 20:11    133K
cgo-0.6.1-r1.apk                                   25-Oct-2024 20:11     11K
cgo-doc-0.6.1-r1.apk                               25-Oct-2024 20:11    4214
chamo-4.0-r0.apk                                   25-Oct-2024 20:11      6M
chamo-byte-4.0-r0.apk                              25-Oct-2024 20:11      1M
chamo-dev-4.0-r0.apk                               25-Oct-2024 20:11      4M
charls-2.4.2-r0.apk                                25-Oct-2024 20:11     67K
charls-dev-2.4.2-r0.apk                            25-Oct-2024 20:11     27K
chasquid-1.15.0-r3.apk                             12-Apr-2025 03:08     12M
chasquid-doc-1.15.0-r3.apk                         12-Apr-2025 03:08     15K
chasquid-openrc-1.15.0-r3.apk                      12-Apr-2025 03:08    1993
checkpolicy-3.6-r0.apk                             25-Oct-2024 20:11    354K
checkpolicy-doc-3.6-r0.apk                         25-Oct-2024 20:11    4271
cherrytree-1.4.0-r0.apk                            26-Mar-2025 17:40      3M
cherrytree-doc-1.4.0-r0.apk                        26-Mar-2025 17:40    2153
cherrytree-lang-1.4.0-r0.apk                       26-Mar-2025 17:40    859K
chicago95-3.0.1_git20240619-r0.apk                 25-Oct-2024 20:11    481K
chicago95-fonts-3.0.1_git20240619-r0.apk           25-Oct-2024 20:11    215K
chicago95-icons-3.0.1_git20240619-r0.apk           25-Oct-2024 20:11     12M
chim-1.1.2-r1.apk                                  25-Oct-2024 20:11      2M
chim-doc-1.1.2-r1.apk                              25-Oct-2024 20:11    2881
chocolate-doom-3.1.0-r0.apk                        25-Oct-2024 20:11      2M
chocolate-doom-doc-3.1.0-r0.apk                    25-Oct-2024 20:11    231K
cilium-cli-0.16.13-r4.apk                          12-Apr-2025 03:08     57M
cilium-cli-bash-completion-0.16.13-r4.apk          12-Apr-2025 03:08    5187
cilium-cli-fish-completion-0.16.13-r4.apk          12-Apr-2025 03:08    4435
cilium-cli-zsh-completion-0.16.13-r4.apk           12-Apr-2025 03:08    4147
cimg-3.4.1-r0.apk                                  25-Oct-2024 20:11    826K
circuslinux-1.0.3-r1.apk                           25-Oct-2024 20:11     20K
circuslinux-data-1.0.3-r1.apk                      25-Oct-2024 20:11      1M
circuslinux-doc-1.0.3-r1.apk                       25-Oct-2024 20:11     18K
ckb-next-0.6.2-r0.apk                              19-Mar-2025 11:45      1M
ckb-next-daemon-0.6.2-r0.apk                       19-Mar-2025 11:45     70K
ckb-next-daemon-openrc-0.6.2-r0.apk                19-Mar-2025 11:45    1833
ckb-next-dev-0.6.2-r0.apk                          19-Mar-2025 11:45    4999
clatd-1.6-r0.apk                                   25-Oct-2024 20:11     13K
clementine-1.4.1_git20250121-r2.apk                12-Apr-2025 03:08      7M
clevis-21-r0.apk                                   20-Jan-2025 04:17     54K
clevis-bash-completion-21-r0.apk                   20-Jan-2025 04:17    2088
clevis-dbg-21-r0.apk                               20-Jan-2025 04:17     57K
clevis-doc-21-r0.apk                               20-Jan-2025 04:17     23K
clevis-extra-pins-0_git20230629-r0.apk             25-Oct-2024 20:11    4769
click-0.5.2-r4.apk                                 17-Feb-2025 09:34    160K
click-dev-0.5.2-r4.apk                             17-Feb-2025 09:34    9342
click-doc-0.5.2-r4.apk                             17-Feb-2025 09:34    3389
click-pyc-0.5.2-r4.apk                             17-Feb-2025 09:34    175K
clinfo-3.0.23.01.25-r0.apk                         25-Oct-2024 20:11     46K
clinfo-doc-3.0.23.01.25-r0.apk                     25-Oct-2024 20:11    6628
cliphist-0.6.1-r4.apk                              12-Apr-2025 03:08    967K
cliphist-fzf-0.6.1-r4.apk                          12-Apr-2025 03:08    1839
clipit-1.4.5-r3.apk                                25-Oct-2024 20:11     67K
clipit-doc-1.4.5-r3.apk                            25-Oct-2024 20:11    2443
cliquer-1.22-r2.apk                                25-Oct-2024 20:11    8277
cliquer-dev-1.22-r2.apk                            25-Oct-2024 20:11    7602
cliquer-libs-1.22-r2.apk                           25-Oct-2024 20:11     26K
cliquer-static-1.22-r2.apk                         25-Oct-2024 20:11     30K
cliquer-tests-1.22-r2.apk                          25-Oct-2024 20:11     24K
cln-1.3.7-r0.apk                                   25-Oct-2024 20:11    486K
cln-dev-1.3.7-r0.apk                               25-Oct-2024 20:11      1M
cln-doc-1.3.7-r0.apk                               25-Oct-2024 20:11     78K
cloudflared-2024.12.1-r4.apk                       12-Apr-2025 03:08     10M
cloudflared-doc-2024.12.1-r4.apk                   12-Apr-2025 03:08    1958
cloudflared-openrc-2024.12.1-r4.apk                12-Apr-2025 03:08    1836
cloudfoundry-cli-8.7.9-r8.apk                      12-Apr-2025 03:08      9M
cluster-glue-1.0.12-r5.apk                         25-Oct-2024 20:11    249K
cluster-glue-dev-1.0.12-r5.apk                     25-Oct-2024 20:11    957K
cluster-glue-doc-1.0.12-r5.apk                     25-Oct-2024 20:11     33K
cluster-glue-libs-1.0.12-r5.apk                    25-Oct-2024 20:11    112K
cmusfm-0.5.0-r0.apk                                25-Oct-2024 20:11     15K
coccinelle-1.1.1-r2.apk                            25-Oct-2024 20:11      6M
coccinelle-bash-completion-1.1.1-r2.apk            25-Oct-2024 20:11    2921
coccinelle-doc-1.1.1-r2.apk                        25-Oct-2024 20:11     16K
cocogitto-6.3.0-r0.apk                             21-Mar-2025 19:28      2M
cocogitto-bash-completion-6.3.0-r0.apk             21-Mar-2025 19:28    3115
cocogitto-doc-6.3.0-r0.apk                         21-Mar-2025 19:28     38K
cocogitto-fish-completion-6.3.0-r0.apk             21-Mar-2025 19:28    3406
cocogitto-zsh-completion-6.3.0-r0.apk              21-Mar-2025 19:28    3119
code-minimap-0.6.7-r0.apk                          12-Dec-2024 19:38    371K
code-minimap-doc-0.6.7-r0.apk                      12-Dec-2024 19:38    8181
codeberg-cli-0.4.9-r0.apk                          10-Mar-2025 21:24      2M
codeberg-cli-bash-completion-0.4.9-r0.apk          10-Mar-2025 21:24    5039
codeberg-cli-fish-completion-0.4.9-r0.apk          10-Mar-2025 21:24    4887
codeberg-cli-zsh-completion-0.4.9-r0.apk           10-Mar-2025 21:24    6852
coin-4.0.3-r0.apk                                  13-Dec-2024 20:40      3M
coin-dev-4.0.3-r0.apk                              13-Dec-2024 20:40    326K
colormake-0.9.20170221-r0.apk                      25-Oct-2024 20:11    4128
colormake-doc-0.9.20170221-r0.apk                  25-Oct-2024 20:11    2726
colorpicker-0_git20201128-r1.apk                   25-Oct-2024 20:11    4317
comics-downloader-0.33.8-r9.apk                    12-Apr-2025 03:08      4M
comics-downloader-gui-0.33.8-r9.apk                12-Apr-2025 03:08      6M
commoncpp-7.0.1-r1.apk                             25-Oct-2024 20:11    318K
commoncpp-dev-7.0.1-r1.apk                         25-Oct-2024 20:11    173K
commoncpp-doc-7.0.1-r1.apk                         25-Oct-2024 20:11     15K
commoncpp-tools-7.0.1-r1.apk                       25-Oct-2024 20:11     44K
compiz-0.9.14.2-r9.apk                             12-Apr-2025 03:08      6M
compiz-dev-0.9.14.2-r9.apk                         12-Apr-2025 03:08    117K
compiz-lang-0.9.14.2-r9.apk                        12-Apr-2025 03:08      1M
compiz-pyc-0.9.14.2-r9.apk                         12-Apr-2025 03:08    112K
compiz-utils-0.9.14.2-r9.apk                       12-Apr-2025 03:08    3409
conntracct-0.2.7-r30.apk                           12-Apr-2025 03:08      5M
conntracct-openrc-0.2.7-r30.apk                    12-Apr-2025 03:08    1969
console_bridge-1.0.2-r0.apk                        25-Oct-2024 20:11     10K
console_bridge-dev-1.0.2-r0.apk                    25-Oct-2024 20:11    4842
consul-replicate-0.4.0-r30.apk                     12-Apr-2025 03:08      3M
contractor-0.3.5-r0.apk                            12-Nov-2024 21:54     27K
convert2json-2.1.0-r1.apk                          23-Apr-2025 21:27      3M
convert2json-doc-2.1.0-r1.apk                      23-Apr-2025 21:27     11K
copyq-9.1.0-r1.apk                                 12-Dec-2024 17:43      3M
copyq-bash-completion-9.1.0-r1.apk                 12-Dec-2024 17:43    2267
copyq-doc-9.1.0-r1.apk                             12-Dec-2024 17:43    3523
cortex-tenant-1.15.2-r5.apk                        12-Apr-2025 03:08      4M
cortex-tenant-openrc-1.15.2-r5.apk                 12-Apr-2025 03:08    2094
cosmic-icons-1.0.0_alpha7-r0.apk                   25-Apr-2025 06:18    231K
cowsay-3.04-r2.apk                                 25-Oct-2024 20:11     18K
cowsay-doc-3.04-r2.apk                             25-Oct-2024 20:11    4095
coxeter-3.0-r1.apk                                 25-Oct-2024 20:11     49K
coxeter-dev-3.0-r1.apk                             25-Oct-2024 20:11     57K
coxeter-libs-3.0-r1.apk                            25-Oct-2024 20:11    338K
cpdf-2.8-r0.apk                                    23-Mar-2025 07:18      2M
cpdf-doc-2.8-r0.apk                                23-Mar-2025 07:18    536K
cpiped-0.1.0-r0.apk                                25-Oct-2024 20:11    6933
cpplint-2.0.2-r0.apk                               13-Apr-2025 23:24     80K
cpplint-pyc-2.0.2-r0.apk                           13-Apr-2025 23:24     99K
cpu-x-5.2.0-r0.apk                                 06-Apr-2025 17:07      2M
cpu-x-bash-completion-5.2.0-r0.apk                 06-Apr-2025 17:07    2000
cpu-x-fish-completion-5.2.0-r0.apk                 06-Apr-2025 17:07    2203
cpu-x-lang-5.2.0-r0.apk                            06-Apr-2025 17:07    266K
cpu-x-zsh-completion-5.2.0-r0.apk                  06-Apr-2025 17:07    2110
cpuburn-1.4a_git20160316-r2.apk                    25-Oct-2024 20:11    4151
crazydiskinfo-1.1.0-r1.apk                         25-Oct-2024 20:11     36K
create-tauri-app-4.5.9-r0.apk                      25-Dec-2024 22:08    649K
create-tauri-app-doc-4.5.9-r0.apk                  25-Dec-2024 22:08    6234
createrepo_c-1.1.4-r0.apk                          25-Oct-2024 20:11     50K
createrepo_c-bash-completion-1.1.4-r0.apk          25-Oct-2024 20:11    2948
createrepo_c-dev-1.1.4-r0.apk                      25-Oct-2024 20:11     31K
createrepo_c-doc-1.1.4-r0.apk                      25-Oct-2024 20:11    8862
createrepo_c-libs-1.1.4-r0.apk                     25-Oct-2024 20:11     89K
crispy-doom-7.0-r0.apk                             25-Oct-2024 20:11      2M
crispy-doom-doc-7.0-r0.apk                         25-Oct-2024 20:11    107K
critcl-3.3.1-r0.apk                                25-Oct-2024 20:11    434K
critcl-doc-3.3.1-r0.apk                            25-Oct-2024 20:11    787K
crossplane-0.5.8-r3.apk                            25-Oct-2024 20:11     30K
crossplane-pyc-0.5.8-r3.apk                        25-Oct-2024 20:11     39K
crosstool-ng-1.27.0-r0.apk                         06-Feb-2025 04:49      2M
crosstool-ng-bash-completion-1.27.0-r0.apk         06-Feb-2025 04:49    2163
crosstool-ng-doc-1.27.0-r0.apk                     06-Feb-2025 04:49     33K
crowdsec-1.6.8-r1.apk                              12-Apr-2025 03:08     37M
crowdsec-email-plugin-1.6.8-r1.apk                 12-Apr-2025 03:08      9M
crowdsec-http-plugin-1.6.8-r1.apk                  12-Apr-2025 03:08      9M
crowdsec-openrc-1.6.8-r1.apk                       12-Apr-2025 03:08    1846
crowdsec-sentinel-plugin-1.6.8-r1.apk              12-Apr-2025 03:08      9M
crowdsec-slack-plugin-1.6.8-r1.apk                 12-Apr-2025 03:08      9M
crowdsec-splunk-plugin-1.6.8-r1.apk                12-Apr-2025 03:08      9M
crun-vm-0.3.0-r0.apk                               12-Nov-2024 11:35      1M
crun-vm-doc-0.3.0-r0.apk                           12-Nov-2024 11:35     13K
cscope-15.9-r1.apk                                 25-Oct-2024 20:11    155K
cscope-doc-15.9-r1.apk                             25-Oct-2024 20:11    7674
csfml-2.5.2-r0.apk                                 25-Oct-2024 20:11    104K
csfml-dev-2.5.2-r0.apk                             25-Oct-2024 20:11     77K
csfml-doc-2.5.2-r0.apk                             25-Oct-2024 20:11    204K
csmith-2.3.0-r2.apk                                25-Oct-2024 20:11    330K
csmith-doc-2.3.0-r2.apk                            25-Oct-2024 20:11    3148
csol-1.6.0-r0.apk                                  25-Oct-2024 20:11     37K
csol-doc-1.6.0-r0.apk                              25-Oct-2024 20:11    3942
ctorrent-dnh-3.3.2-r2.apk                          25-Oct-2024 20:11     99K
cups-pdf-3.0.1-r2.apk                              25-Oct-2024 20:11     21K
curlftpfs-0.9.2-r3.apk                             25-Oct-2024 20:11     27K
curlftpfs-doc-0.9.2-r3.apk                         25-Oct-2024 20:11    6268
curtail-1.11.1-r0.apk                              25-Oct-2024 20:11     27K
curtail-lang-1.11.1-r0.apk                         25-Oct-2024 20:11     66K
cutechess-1.3.1-r0.apk                             25-Oct-2024 20:11      1M
cutechess-cli-1.3.1-r0.apk                         25-Oct-2024 20:11    349K
cutechess-cli-doc-1.3.1-r0.apk                     25-Oct-2024 20:11    6739
cutechess-doc-1.3.1-r0.apk                         25-Oct-2024 20:11    3654
cvise-2.11.0-r0.apk                                08-Mar-2025 13:29      6M
cvise-pyc-2.11.0-r0.apk                            08-Mar-2025 13:29     60K
cvs-fast-export-1.65-r0.apk                        25-Oct-2024 20:11     47K
cvs-fast-export-doc-1.65-r0.apk                    25-Oct-2024 20:11     17K
cvs-fast-export-tools-1.65-r0.apk                  25-Oct-2024 20:11    8868
cyrus-sasl-xoauth2-0.2-r1.apk                      25-Oct-2024 20:11    6935
cyrus-sasl-xoauth2-doc-0.2-r1.apk                  25-Oct-2024 20:11    2328
cyrus-sasl-xoauth2-static-0.2-r1.apk               25-Oct-2024 20:11    7260
cz-viator-hourglass-black-20210706-r0.apk          25-Oct-2024 20:11    219K
daemontools-0.76-r3.apk                            25-Oct-2024 20:11     66K
daemontools-openrc-0.76-r3.apk                     25-Oct-2024 20:11    2009
daktilo-0.6.0-r0.apk                               25-Oct-2024 20:11      2M
daktilo-bash-completion-0.6.0-r0.apk               25-Oct-2024 20:11    2217
daktilo-doc-0.6.0-r0.apk                           25-Oct-2024 20:11    8871
daktilo-fish-completion-0.6.0-r0.apk               25-Oct-2024 20:11    1982
daktilo-zsh-completion-0.6.0-r0.apk                25-Oct-2024 20:11    2324
darts-clone-0_git20181117-r0.apk                   25-Oct-2024 20:11     40K
darts-clone-dev-0_git20181117-r0.apk               25-Oct-2024 20:11     13K
dasht-2.4.0-r0.apk                                 25-Oct-2024 20:11     14K
dasht-doc-2.4.0-r0.apk                             25-Oct-2024 20:11     11K
dasht-zsh-completion-2.4.0-r0.apk                  25-Oct-2024 20:11    2136
davmail-6.3.0-r0.apk                               17-Apr-2025 17:47      9M
dbmate-2.26.0-r1.apk                               12-Apr-2025 03:08     11M
dbmate-doc-2.26.0-r1.apk                           12-Apr-2025 03:08    2319
dbus-broker-36-r0.apk                              25-Oct-2024 20:11     82K
dbus-broker-doc-36-r0.apk                          25-Oct-2024 20:11    5982
dcmtk-3.6.9-r0.apk                                 19-Jan-2025 17:13      1M
dcmtk-dev-3.6.9-r0.apk                             19-Jan-2025 17:13      2M
dcmtk-doc-3.6.9-r0.apk                             19-Jan-2025 17:13    257K
dcmtk-openrc-3.6.9-r0.apk                          19-Jan-2025 17:13    1728
dcnnt-0.10.0-r1.apk                                25-Oct-2024 20:11     28K
dcnnt-doc-0.10.0-r1.apk                            25-Oct-2024 20:11    6749
dcnnt-pyc-0.10.0-r1.apk                            25-Oct-2024 20:11     62K
ddcci-driver-linux-src-0.4.5-r2.apk                19-Mar-2025 11:45     19K
ddgr-2.2-r0.apk                                    25-Oct-2024 20:11     20K
ddgr-bash-completion-2.2-r0.apk                    25-Oct-2024 20:11    2278
ddgr-doc-2.2-r0.apk                                25-Oct-2024 20:11     12K
ddgr-fish-completion-2.2-r0.apk                    25-Oct-2024 20:11    2356
ddgr-zsh-completion-2.2-r0.apk                     25-Oct-2024 20:11    2761
ddnrs-0.3.0-r0.apk                                 25-Oct-2024 20:11    967K
ddnrs-openrc-0.3.0-r0.apk                          25-Oct-2024 20:11    2037
ddserver-0_git20200930-r1.apk                      25-Oct-2024 20:11     13K
deadbeef-soxr-20180801-r0.apk                      25-Oct-2024 20:11    6397
debconf-1.5.82-r0.apk                              25-Oct-2024 20:11     69K
debconf-bash-completion-1.5.82-r0.apk              25-Oct-2024 20:11    1901
debconf-doc-1.5.82-r0.apk                          25-Oct-2024 20:11     27K
debconf-lang-1.5.82-r0.apk                         25-Oct-2024 20:11    132K
debconf-utils-1.5.82-r0.apk                        25-Oct-2024 20:11    6820
decoder-0.7.0-r0.apk                               11-Apr-2025 18:13      2M
decoder-lang-0.7.0-r0.apk                          11-Apr-2025 18:13     59K
dehydrated-0.7.1-r0.apk                            25-Oct-2024 20:11     26K
desed-1.2.1-r1.apk                                 25-Oct-2024 20:11    403K
desed-doc-1.2.1-r1.apk                             25-Oct-2024 20:11    2950
desync-0.9.6-r4.apk                                12-Apr-2025 03:08      8M
detox-2.0.0-r0.apk                                 25-Oct-2024 20:11    108K
detox-doc-2.0.0-r0.apk                             25-Oct-2024 20:11     21K
devil-1.8.0-r0.apk                                 25-Oct-2024 20:11    269K
devil-dev-1.8.0-r0.apk                             25-Oct-2024 20:11     13K
dewduct-0.2.3-r0.apk                               25-Oct-2024 20:11      1M
dfl-applications-0.2.0-r0.apk                      25-Oct-2024 20:11     36K
dfl-applications-dev-0.2.0-r0.apk                  25-Oct-2024 20:11    4227
dfl-ipc-0.2.0-r0.apk                               25-Oct-2024 20:11     22K
dfl-ipc-dev-0.2.0-r0.apk                           25-Oct-2024 20:11    3697
dfl-login1-0.2.0-r0.apk                            25-Oct-2024 20:11     18K
dfl-login1-dev-0.2.0-r0.apk                        25-Oct-2024 20:11    3542
dfl-sni-0.2.0-r0.apk                               25-Oct-2024 20:11     34K
dfl-sni-dev-0.2.0-r0.apk                           25-Oct-2024 20:11    4229
dfu-programmer-1.1.0-r0.apk                        25-Oct-2024 20:11     33K
dfu-programmer-bash-completion-1.1.0-r0.apk        25-Oct-2024 20:11    2853
dfu-programmer-doc-1.1.0-r0.apk                    25-Oct-2024 20:11    5902
dhewm3-1.5.4-r0.apk                                17-Feb-2025 09:34      5M
diceware-1.0.1-r0.apk                              13-Jan-2025 22:49    334K
diceware-pyc-1.0.1-r0.apk                          13-Jan-2025 22:49     18K
disfetch-3.7-r0.apk                                25-Oct-2024 20:11    8491
diskonaut-0.11.0-r3.apk                            25-Oct-2024 20:11    454K
diskus-0.7.0-r2.apk                                25-Oct-2024 20:11    332K
dislocker-0.7.3-r5.apk                             25-Oct-2024 20:11     13K
dislocker-doc-0.7.3-r5.apk                         25-Oct-2024 20:11    6209
dislocker-libs-0.7.3-r5.apk                        25-Oct-2024 20:11     47K
dissent-0.0.32-r4.apk                              12-Apr-2025 03:08     16M
dive-0.13.0-r1.apk                                 12-Apr-2025 03:08      4M
dived-1.9.0-r0.apk                                 25-Oct-2024 20:11     23K
dived-doc-1.9.0-r0.apk                             25-Oct-2024 20:11     11K
dlib-19.24.4-r0.apk                                25-Oct-2024 20:11    830K
dlib-dev-19.24.4-r0.apk                            25-Oct-2024 20:11      2M
dmarc-cat-0.15.0-r4.apk                            12-Apr-2025 03:08      3M
dmarc-metrics-exporter-1.2.0-r0.apk                29-Nov-2024 22:02     25K
dmarc-metrics-exporter-openrc-1.2.0-r0.apk         29-Nov-2024 22:02    1897
dmarc-metrics-exporter-pyc-1.2.0-r0.apk            29-Nov-2024 22:02     46K
dnscontrol-4.18.0-r1.apk                           12-Apr-2025 03:08     15M
dnscontrol-doc-4.18.0-r1.apk                       12-Apr-2025 03:08    2347
dnscrypt-wrapper-0.4.2-r3.apk                      25-Oct-2024 20:11     30K
dnsenum-1.3.2-r0.apk                               25-Oct-2024 20:11     21K
dnsenum-doc-1.3.2-r0.apk                           25-Oct-2024 20:11    5364
dnsperf-2.14.0-r0.apk                              25-Oct-2024 20:11     77K
dnsperf-doc-2.14.0-r0.apk                          25-Oct-2024 20:11     35K
dnssec-tools-2.2.3-r12.apk                         25-Oct-2024 20:11    767K
dnssec-tools-dev-2.2.3-r12.apk                     25-Oct-2024 20:11    186K
dnssec-tools-doc-2.2.3-r12.apk                     25-Oct-2024 20:11    315K
doasedit-1.0.7-r0.apk                              25-Oct-2024 20:11    3668
docker-auth-1.13.0-r3.apk                          12-Apr-2025 03:08     10M
docker-auth-doc-1.13.0-r3.apk                      12-Apr-2025 03:08     10K
docker-auth-openrc-1.13.0-r3.apk                   12-Apr-2025 03:08    2115
docker-volume-local-persist-1.3.0-r32.apk          12-Apr-2025 03:08      3M
docker-volume-local-persist-openrc-1.3.0-r32.apk   12-Apr-2025 03:08    1829
dockerize-0.9.0-r4.apk                             12-Apr-2025 03:08      3M
dodo-0_git20250313-r0.apk                          24-Apr-2025 08:41    187K
dodo-pyc-0_git20250313-r0.apk                      24-Apr-2025 08:41     87K
dooit-3.1.0-r0.apk                                 07-Dec-2024 20:23     45K
dooit-extras-0.2.0-r0.apk                          07-Dec-2024 20:23     13K
dooit-extras-pyc-0.2.0-r0.apk                      07-Dec-2024 20:23     23K
dooit-pyc-3.1.0-r0.apk                             07-Dec-2024 20:23    100K
dotenv-linter-3.3.0-r1.apk                         25-Oct-2024 20:11    961K
downloader-cli-0.3.4-r1.apk                        25-Oct-2024 20:11    2063
draco-1.5.7-r2.apk                                 17-Feb-2025 09:34    893K
draco-dev-1.5.7-r2.apk                             17-Feb-2025 09:34    205K
draco-static-1.5.7-r2.apk                          17-Feb-2025 09:34      1M
draco-tools-1.5.7-r2.apk                           17-Feb-2025 09:34      1M
draw-0.1.1-r12.apk                                 12-Apr-2025 03:08   1013K
drawing-1.0.2-r0.apk                               25-Oct-2024 20:11      3M
drawing-lang-1.0.2-r0.apk                          25-Oct-2024 20:11    272K
drogon-1.9.4-r1.apk                                25-Oct-2024 20:11      2M
drogon-dev-1.9.4-r1.apk                            25-Oct-2024 20:11    125K
drogon-doc-1.9.4-r1.apk                            25-Oct-2024 20:11    2308
droidcam-2.1.3-r1.apk                              08-Nov-2024 21:25     18K
droidcam-gui-2.1.3-r1.apk                          08-Nov-2024 21:25     28K
drone-cli-1.8.0-r9.apk                             12-Apr-2025 03:08      6M
dropwatch-1.5.4-r7.apk                             10-Feb-2025 23:18     16K
dropwatch-doc-1.5.4-r7.apk                         10-Feb-2025 23:18    3731
drumgizmo-0.9.20-r1.apk                            25-Oct-2024 20:11    413K
drupal7-7.103-r0.apk                               04-Dec-2024 17:27      3M
drupal7-doc-7.103-r0.apk                           04-Dec-2024 17:27     57K
dsnet-0.7.3-r10.apk                                12-Apr-2025 03:08      4M
dsnet-doc-0.7.3-r10.apk                            12-Apr-2025 03:08    9430
dsp-1.9-r2.apk                                     25-Oct-2024 20:11     98K
dsp-doc-1.9-r2.apk                                 25-Oct-2024 20:11    7325
dstask-0.26-r13.apk                                12-Apr-2025 03:08      1M
dstask-bash-completion-0.26-r13.apk                12-Apr-2025 03:08    2165
dstask-import-0.26-r13.apk                         12-Apr-2025 03:08      4M
dstask-zsh-completion-0.26-r13.apk                 12-Apr-2025 03:08    1707
dublin-traceroute-0.4.2-r4.apk                     25-Oct-2024 20:11     50K
dublin-traceroute-contrib-0.4.2-r4.apk             25-Oct-2024 20:11    2929
dublin-traceroute-dev-0.4.2-r4.apk                 25-Oct-2024 20:11    7056
dublin-traceroute-doc-0.4.2-r4.apk                 25-Oct-2024 20:11    2360
duc-1.4.5-r0.apk                                   25-Oct-2024 20:11     87K
duc-doc-1.4.5-r0.apk                               25-Oct-2024 20:11    9301
duf-0.8.1-r25.apk                                  12-Apr-2025 03:08      1M
dufs-0.43.0-r0.apk                                 23-Jan-2025 19:05      1M
dufs-bash-completion-0.43.0-r0.apk                 23-Jan-2025 19:05    2319
dufs-doc-0.43.0-r0.apk                             23-Jan-2025 19:05     10K
dufs-fish-completion-0.43.0-r0.apk                 23-Jan-2025 19:05    2406
dufs-zsh-completion-0.43.0-r0.apk                  23-Jan-2025 19:05    2719
dulcepan-1.0.2-r0.apk                              25-Oct-2024 20:11     21K
dum-0.1.20-r1.apk                                  29-Mar-2025 15:58    358K
dune-deps-1.3.0-r2.apk                             25-Oct-2024 20:11    747K
dustracing2d-2.1.1-r1.apk                          25-Oct-2024 20:11      5M
dvdbackup-0.4.2-r1.apk                             25-Oct-2024 20:11     17K
dvdbackup-doc-0.4.2-r1.apk                         25-Oct-2024 20:11    7765
dvdbackup-lang-0.4.2-r1.apk                        25-Oct-2024 20:11    1463
dwl-0.7-r0.apk                                     25-Oct-2024 20:11     29K
dwl-doc-0.7-r0.apk                                 25-Oct-2024 20:11    3199
e16-1.0.30-r0.apk                                  05-Nov-2024 13:22    782K
e16-doc-1.0.30-r0.apk                              05-Nov-2024 13:22     27K
e16-lang-1.0.30-r0.apk                             05-Nov-2024 13:22    380K
eatmemory-0.1.6-r2.apk                             25-Oct-2024 20:11    4397
eboard-1.1.3-r1.apk                                25-Oct-2024 20:11      1M
eboard-doc-1.1.3-r1.apk                            25-Oct-2024 20:11    4775
ecasound-2.9.3-r4.apk                              24-Feb-2025 21:15    729K
ecasound-dev-2.9.3-r4.apk                          24-Feb-2025 21:15      1M
ecasound-doc-2.9.3-r4.apk                          24-Feb-2025 21:15     38K
ecm-7.0.5-r1.apk                                   25-Oct-2024 20:11    135K
ecm-dev-7.0.5-r1.apk                               25-Oct-2024 20:11    4986
ecm-doc-7.0.5-r1.apk                               25-Oct-2024 20:11    7365
ecos-2.0.10-r0.apk                                 25-Oct-2024 20:11     41K
ecos-dev-2.0.10-r0.apk                             25-Oct-2024 20:11     28K
edam-1.0.2-r0.apk                                  07-Jan-2025 15:32     41K
edam-doc-1.0.2-r0.apk                              07-Jan-2025 15:32    8454
edward-1.1.0-r0.apk                                25-Oct-2024 20:11      2M
edward-doc-1.1.0-r0.apk                            25-Oct-2024 20:11    5399
efibootguard-0.16-r0.apk                           25-Oct-2024 20:11    105K
efibootguard-bash-completion-0.16-r0.apk           25-Oct-2024 20:11    3693
efibootguard-dev-0.16-r0.apk                       25-Oct-2024 20:11     22K
efibootguard-zsh-completion-0.16-r0.apk            25-Oct-2024 20:11    2987
efl-1.28.1-r2.apk                                  23-Mar-2025 15:03     34M
efl-dev-1.28.1-r2.apk                              23-Mar-2025 15:03      2M
efl-gdb-1.28.1-r2.apk                              23-Mar-2025 15:03    1733
eiwd-3.6-r0.apk                                    18-Apr-2025 16:20    905K
eiwd-doc-3.6-r0.apk                                18-Apr-2025 16:20     20K
eiwd-openrc-3.6-r0.apk                             18-Apr-2025 16:20    1926
elementary-calculator-8.0.0-r0.apk                 28-Oct-2024 22:05     71K
elementary-calculator-lang-8.0.0-r0.apk            28-Oct-2024 22:05     57K
elementary-camera-8.0.0-r0.apk                     27-Oct-2024 14:19     86K
elementary-camera-lang-8.0.0-r0.apk                27-Oct-2024 14:19     34K
elementary-feedback-8.0.0-r0.apk                   27-Oct-2024 14:17     44K
elementary-feedback-lang-8.0.0-r0.apk              27-Oct-2024 14:17     43K
elementary-icon-theme-8.0.0-r0.apk                 25-Oct-2024 20:11      5M
elementary-music-8.0.0-r0.apk                      28-Oct-2024 22:06     75K
elementary-music-lang-8.0.0-r0.apk                 28-Oct-2024 22:06     47K
elementary-photos-8.0.1-r0.apk                     02-Dec-2024 22:59      1M
elementary-photos-lang-8.0.1-r0.apk                02-Dec-2024 22:59      1M
elementary-sound-theme-1.1.0-r0.apk                10-Nov-2024 22:08     83K
elementary-theme-8.1.0-r0.apk                      12-Jan-2025 20:36      1M
elementary-videos-8.0.1-r0.apk                     10-Nov-2024 22:07    114K
elementary-videos-lang-8.0.1-r0.apk                10-Nov-2024 22:07     85K
elf_diff-0.7.1-r3.apk                              25-Oct-2024 20:11    108K
elf_diff-pyc-0.7.1-r3.apk                          25-Oct-2024 20:11    108K
elfio-3.12-r0.apk                                  25-Oct-2024 20:11    1455
elfio-dev-3.12-r0.apk                              25-Oct-2024 20:11     55K
eludris-0.3.3-r1.apk                               25-Oct-2024 20:11      2M
eludris-doc-0.3.3-r1.apk                           25-Oct-2024 20:11    2344
emacs-ace-window-0.10.0_git20220911-r0.apk         25-Oct-2024 20:11     23K
emacs-avy-0.5.0_git20230420-r0.apk                 25-Oct-2024 20:11     43K
emacs-avy-embark-collect-1.1-r0.apk                23-Apr-2025 21:27    3917
emacs-centaur-tabs-3.2_git20230601-r0.apk          25-Oct-2024 20:11     55K
emacs-closql-1.2.1_git20240712-r0.apk              25-Oct-2024 20:11     14K
emacs-consult-1.4_git20240405-r0.apk               25-Oct-2024 20:11    138K
emacs-derl-0_git20231004-r0.apk                    25-Oct-2024 20:11     23K
emacs-elfeed-3.4.2-r0.apk                          23-Apr-2025 21:27     91K
emacs-emacsql-3.1.1_git20240714-r0.apk             25-Oct-2024 20:11     23K
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk       25-Oct-2024 20:11    6208
emacs-emacsql-psql-3.1.1_git20240714-r0.apk        25-Oct-2024 20:11    5993
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk      25-Oct-2024 20:11     18K
emacs-emacsql-sqlite-module-3.1.1_git20240714-r..> 25-Oct-2024 20:11    4394
emacs-embark-1.1-r0.apk                            23-Apr-2025 21:27    111K
emacs-embark-consult-1.1-r0.apk                    23-Apr-2025 21:27     10K
emacs-ement-0.16-r0.apk                            23-Apr-2025 21:27    291K
emacs-epkg-3.3.3_git20240713-r0.apk                25-Oct-2024 20:11     37K
emacs-fossil-0_git20230504-r0.apk                  25-Oct-2024 20:11     15K
emacs-gnosis-0.3.2-r0.apk                          25-Oct-2024 20:11     62K
emacs-hackernews-0.7.0-r0.apk                      25-Oct-2024 20:11     16K
emacs-helm-3.9.7_git20240329-r0.apk                25-Oct-2024 20:11    815K
emacs-hnreader-0_git20221116-r0.apk                25-Oct-2024 20:11     10K
emacs-hydra-0.15.0_git20220910-r0.apk              25-Oct-2024 20:11     46K
emacs-llama-0.3.1_git20240722-r0.apk               25-Oct-2024 20:11     10K
emacs-lsp-booster-0.2.1-r0.apk                     12-Apr-2025 12:21    428K
emacs-lsp-booster-doc-0.2.1-r0.apk                 12-Apr-2025 12:21    2326
emacs-persist-0.6_git20240114-r0.apk               25-Oct-2024 20:11    6793
emacs-powerline-2.4_git20221110-r0.apk             25-Oct-2024 20:11     29K
emacs-sqlite3-api-0.18-r0.apk                      25-Oct-2024 20:11     17K
emacs-svg-lib-0_git20240219-r0.apk                 25-Oct-2024 20:11     19K
emacs-taxy-0.10.2-r0.apk                           23-Apr-2025 21:27     11K
emacs-taxy-magit-section-0.14.3-r0.apk             23-Apr-2025 21:27     18K
empede-0.2.3-r0.apk                                25-Oct-2024 20:11      2M
empede-doc-0.2.3-r0.apk                            25-Oct-2024 20:11    2338
empede-openrc-0.2.3-r0.apk                         25-Oct-2024 20:11    1968
emulationstation-2.11.2-r1.apk                     25-Oct-2024 20:11      1M
emulationstation-theme-gbz35-2.11.2-r1.apk         25-Oct-2024 20:11      3M
endeavour-43.0-r2.apk                              08-Dec-2024 21:39    195K
endeavour-dev-43.0-r2.apk                          08-Dec-2024 21:39     46K
endeavour-doc-43.0-r2.apk                          08-Dec-2024 21:39     68K
endeavour-lang-43.0-r2.apk                         08-Dec-2024 21:39    203K
endless-sky-0.10.2-r0.apk                          25-Oct-2024 20:11    242M
endless-sky-doc-0.10.2-r0.apk                      25-Oct-2024 20:11     37K
endlessh-1.1-r0.apk                                25-Oct-2024 20:11    9789
endlessh-doc-1.1-r0.apk                            25-Oct-2024 20:11    2456
enjoy-0.3-r1.apk                                   25-Oct-2024 20:11     11K
enlighten-0.9.2-r1.apk                             25-Oct-2024 20:11    7496
enlighten-doc-0.9.2-r1.apk                         25-Oct-2024 20:11    3594
envconsul-0.13.3-r2.apk                            12-Apr-2025 03:08      5M
envsubst-0.1-r1.apk                                25-Oct-2024 20:11    5049
epoch-1.3.0-r2.apk                                 25-Oct-2024 20:11     54K
epr-2.4.15-r1.apk                                  25-Oct-2024 20:11     16K
epr-pyc-2.4.15-r1.apk                              25-Oct-2024 20:11     24K
ergo-ldap-0.0.1-r16.apk                            12-Apr-2025 03:08      2M
ergo-ldap-doc-0.0.1-r16.apk                        12-Apr-2025 03:08    2343
errands-46.2.7-r0.apk                              31-Jan-2025 14:30     85K
errands-lang-46.2.7-r0.apk                         31-Jan-2025 14:30     71K
espeakup-0.90-r2.apk                               25-Oct-2024 20:11     11K
espeakup-openrc-0.90-r2.apk                        25-Oct-2024 20:11    1856
esptool-4.8.1-r0.apk                               25-Oct-2024 20:11    424K
esptool-pyc-4.8.1-r0.apk                           25-Oct-2024 20:11    549K
ettercap-0.8.3.1-r3.apk                            25-Oct-2024 20:11    561K
ettercap-doc-0.8.3.1-r3.apk                        25-Oct-2024 20:11     45K
eva-0.3.1-r2.apk                                   25-Oct-2024 20:11    629K
evolution-on-3.24.4-r0.apk                         30-Oct-2024 14:24     11K
exabgp-4.2.24-r0.apk                               15-Mar-2025 22:11    385K
exabgp-doc-4.2.24-r0.apk                           15-Mar-2025 22:11    8236
exabgp-openrc-4.2.24-r0.apk                        15-Mar-2025 22:11    2245
exabgp-pyc-4.2.24-r0.apk                           15-Mar-2025 22:11    778K
exercism-3.2.0-r11.apk                             12-Apr-2025 03:08      4M
exercism-bash-completion-3.2.0-r11.apk             12-Apr-2025 03:08    2049
exercism-fish-completion-3.2.0-r11.apk             12-Apr-2025 03:08    2458
exercism-zsh-completion-3.2.0-r11.apk              12-Apr-2025 03:08    2203
extrace-0.9-r0.apk                                 25-Oct-2024 20:11    9754
extrace-doc-0.9-r0.apk                             25-Oct-2024 20:11    3600
extremetuxracer-0.8.3-r0.apk                       25-Oct-2024 20:11     40M
extremetuxracer-doc-0.8.3-r0.apk                   25-Oct-2024 20:11    6860
extundelete-0.2.4-r1.apk                           25-Oct-2024 20:11     44K
f_scripts-0.6-r1.apk                               25-Oct-2024 20:11    1483
f_scripts-f_audio-0.6-r1.apk                       25-Oct-2024 20:11    3415
f_scripts-f_files-0.6-r1.apk                       25-Oct-2024 20:11    2993
f_scripts-f_game-0.6-r1.apk                        25-Oct-2024 20:11    1948
f_scripts-f_maps-0.6-r1.apk                        25-Oct-2024 20:11    2166
f_scripts-f_networks-0.6-r1.apk                    25-Oct-2024 20:11    3072
f_scripts-f_phone-0.6-r1.apk                       25-Oct-2024 20:11    6139
f_scripts-f_rss-0.6-r1.apk                         25-Oct-2024 20:11    2594
f_scripts-f_theme-0.6-r1.apk                       25-Oct-2024 20:11    2628
f_scripts-f_timer-0.6-r1.apk                       25-Oct-2024 20:11    2439
f_scripts-f_web-0.6-r1.apk                         25-Oct-2024 20:11    2961
f_scripts-f_youtube-0.6-r1.apk                     25-Oct-2024 20:11    2871
fabric-3.2.2-r1.apk                                25-Oct-2024 20:11     55K
fabric-pyc-3.2.2-r1.apk                            25-Oct-2024 20:11     60K
fakeroot-tcp-1.32.1-r1.apk                         25-Oct-2024 20:11     30K
fastd-23-r0.apk                                    27-Jan-2025 21:33     76K
fastd-doc-23-r0.apk                                27-Jan-2025 21:33    3356
fastd-openrc-23-r0.apk                             27-Jan-2025 21:33    1741
fatback-1.3-r2.apk                                 25-Oct-2024 20:11     30K
fatback-doc-1.3-r2.apk                             25-Oct-2024 20:11     16K
fathom-1.3.1-r12.apk                               12-Apr-2025 03:09      5M
fatrace-0.17.0-r0.apk                              25-Oct-2024 20:11     10K
fatrace-doc-0.17.0-r0.apk                          25-Oct-2024 20:11    3356
fatresize-1.1.0-r1.apk                             25-Oct-2024 20:11    9767
fatresize-doc-1.1.0-r1.apk                         25-Oct-2024 20:11     15K
faultstat-0.01.11-r0.apk                           25-Oct-2024 20:11     14K
faultstat-bash-completion-0.01.11-r0.apk           25-Oct-2024 20:11    2352
faultstat-doc-0.01.11-r0.apk                       25-Oct-2024 20:11    3106
faust-2.60.3-r2.apk                                25-Oct-2024 20:11      9M
faust-dev-2.60.3-r2.apk                            25-Oct-2024 20:11    771K
faust-doc-2.60.3-r2.apk                            25-Oct-2024 20:11     17M
faust-static-2.60.3-r2.apk                         25-Oct-2024 20:11    528K
faust-tools-2.60.3-r2.apk                          25-Oct-2024 20:11    119K
faust-vim-2.60.3-r2.apk                            25-Oct-2024 20:11    2688
fava-1.28-r0.apk                                   25-Oct-2024 20:11      1M
fava-pyc-1.28-r0.apk                               25-Oct-2024 20:11    164K
fbcur-1.0.1-r1.apk                                 25-Oct-2024 20:11    4812
fbcur-doc-1.0.1-r1.apk                             25-Oct-2024 20:11    2219
fbvnc-0_git20220812-r0.apk                         25-Oct-2024 20:11     10K
fceux-2.6.6-r2.apk                                 25-Oct-2024 20:11      3M
fceux-doc-2.6.6-r2.apk                             25-Oct-2024 20:11    106K
fdm-materials-5.2.2-r1.apk                         25-Oct-2024 20:11     60K
featherpad-1.5.1-r0.apk                            25-Oct-2024 20:11    767K
featherpad-lang-1.5.1-r0.apk                       25-Oct-2024 20:11    463K
felix-2.16.0-r1.apk                                13-Mar-2025 23:23    652K
ff2mpv-rust-1.1.6-r0.apk                           27-Mar-2025 06:47    222K
ff2mpv-rust-doc-1.1.6-r0.apk                       27-Mar-2025 06:47     14K
fff-2.2-r0.apk                                     25-Oct-2024 20:11     11K
fff-doc-2.2-r0.apk                                 25-Oct-2024 20:11    9199
fflas-ffpack-2.5.0-r3.apk                          25-Oct-2024 20:11    345K
ffms2-5.0-r0.apk                                   25-Oct-2024 20:11     79K
ffms2-dev-5.0-r0.apk                               25-Oct-2024 20:11    7787
ffms2-doc-5.0-r0.apk                               25-Oct-2024 20:11     30K
ffsend-0.2.76-r4.apk                               25-Oct-2024 20:11      2M
ffsend-bash-completion-0.2.76-r4.apk               25-Oct-2024 20:11    3700
ffsend-fish-completion-0.2.76-r4.apk               25-Oct-2024 20:11    3661
ffsend-zsh-completion-0.2.76-r4.apk                25-Oct-2024 20:11    4676
fheroes2-1.1.7-r0.apk                              27-Mar-2025 06:29      2M
fheroes2-lang-1.1.7-r0.apk                         27-Mar-2025 06:29      2M
fiery-2.0.0-r0.apk                                 25-Oct-2024 20:11    284K
fiery-lang-2.0.0-r0.apk                            25-Oct-2024 20:11     54K
fildesh-0.2.0-r0.apk                               25-Oct-2024 20:11     66K
fildesh-doc-0.2.0-r0.apk                           25-Oct-2024 20:11    2149
fildesh-vim-0.2.0-r0.apk                           25-Oct-2024 20:11    3629
filebrowser-2.27.0-r10.apk                         12-Apr-2025 03:09      8M
filebrowser-openrc-2.27.0-r10.apk                  12-Apr-2025 03:09    1869
fileshelter-6.2.0-r3.apk                           25-Feb-2025 06:36    341K
fileshelter-openrc-6.2.0-r3.apk                    25-Feb-2025 06:36    1679
filite-0.3.0-r2.apk                                25-Oct-2024 20:11      1M
findtow-0.1-r0.apk                                 25-Oct-2024 20:11    5056
finger-0.5-r0.apk                                  25-Oct-2024 20:11    6965
finger-doc-0.5-r0.apk                              25-Oct-2024 20:11    3890
firehol-3.1.7-r2.apk                               25-Oct-2024 20:11     85K
firehol-doc-3.1.7-r2.apk                           25-Oct-2024 20:11    675K
firehol-openrc-3.1.7-r2.apk                        25-Oct-2024 20:11    2100
flann-1.9.2-r1.apk                                 17-Feb-2025 09:34      2M
flann-dev-1.9.2-r1.apk                             17-Feb-2025 09:34    951K
flann-doc-1.9.2-r1.apk                             17-Feb-2025 09:34    2592
flare-engine-1.14-r0.apk                           25-Oct-2024 20:11      5M
flare-engine-doc-1.14-r0.apk                       25-Oct-2024 20:11    2526
flare-game-1.14-r0.apk                             25-Oct-2024 20:11    2240
flatpak-xdg-utils-1.0.6-r0.apk                     25-Oct-2024 20:11     19K
flauschige-uhr-0.1-r1.apk                          25-Oct-2024 20:11    4346
flawz-0.3.0-r0.apk                                 03-Nov-2024 21:06      1M
flawz-bash-completion-0.3.0-r0.apk                 03-Nov-2024 21:06    2169
flawz-doc-0.3.0-r0.apk                             03-Nov-2024 21:06    6136
flawz-fish-completion-0.3.0-r0.apk                 03-Nov-2024 21:06    1958
flawz-zsh-completion-0.3.0-r0.apk                  03-Nov-2024 21:06    2280
flint-2.9.0-r3.apk                                 25-Mar-2025 15:46      5M
flint-dev-2.9.0-r3.apk                             25-Mar-2025 15:46    306K
flintqs-1.0-r1.apk                                 25-Oct-2024 20:11     22K
flowd-0.9.1-r10.apk                                25-Oct-2024 20:11     79K
flowd-dev-0.9.1-r10.apk                            25-Oct-2024 20:11    8498
flowd-doc-0.9.1-r10.apk                            25-Oct-2024 20:11     10K
flowd-openrc-0.9.1-r10.apk                         25-Oct-2024 20:11    1917
fluent-bit-3.1.10-r1.apk                           17-Feb-2025 09:34      6M
fluent-bit-dev-3.1.10-r1.apk                       17-Feb-2025 09:34    115K
fluent-bit-openrc-3.1.10-r1.apk                    17-Feb-2025 09:34    1688
fnf-0.1-r0.apk                                     25-Oct-2024 20:11     18K
fnf-doc-0.1-r0.apk                                 25-Oct-2024 20:11    4703
foma-0.10.0_git20240712-r0.apk                     25-Oct-2024 20:11    329K
foma-dev-0.10.0_git20240712-r0.apk                 25-Oct-2024 20:11    8675
font-anonymous-pro-1.002-r2.apk                    25-Oct-2024 20:11    264K
font-aref-ruqaa-1.006-r0.apk                       12-Apr-2025 12:25    357K
font-babelstone-han-15.1.3-r0.apk                  25-Oct-2024 20:11     18M
font-cascadia-2407.24-r0.apk                       13-Apr-2025 21:56    1291
font-cascadia-code-2407.24-r0.apk                  13-Apr-2025 21:56    526K
font-cascadia-mono-2407.24-r0.apk                  13-Apr-2025 21:56    507K
font-chivo-0_git20221110-r0.apk                    25-Oct-2024 20:11    792K
font-chivo-mono-0_git20221110-r0.apk               25-Oct-2024 20:11    626K
font-comic-neue-2.51-r0.apk                        25-Oct-2024 20:11    249K
font-comic-neue-doc-2.51-r0.apk                    25-Oct-2024 20:11   1004K
font-commit-mono-1.143-r0.apk                      25-Oct-2024 20:11    251K
font-cousine-0_git20210228-r0.apk                  25-Oct-2024 20:11    110K
font-fantasque-sans-1.8.0-r0.apk                   25-Oct-2024 20:11    1259
font-fantasque-sans-doc-1.8.0-r0.apk               25-Oct-2024 20:11    5674
font-fantasque-sans-largelineheight-1.8.0-r0.apk   25-Oct-2024 20:11    316K
font-fantasque-sans-largelineheightnoloopk-1.8...> 25-Oct-2024 20:11    316K
font-fantasque-sans-noloopk-1.8.0-r0.apk           25-Oct-2024 20:11    316K
font-fantasque-sans-normal-1.8.0-r0.apk            25-Oct-2024 20:11    316K
font-fira-4.202-r0.apk                             25-Oct-2024 20:11    1235
font-fira-code-6.2-r0.apk                          25-Oct-2024 20:11    836K
font-fira-code-vf-6.2-r0.apk                       25-Oct-2024 20:11    145K
font-fira-otf-4.202-r0.apk                         25-Oct-2024 20:11      7M
font-fira-ttf-4.202-r0.apk                         25-Oct-2024 20:11      6M
font-firamath-0.3.4-r0.apk                         25-Oct-2024 20:11    118K
font-fontawesome-4-4.7.0-r3.apk                    25-Oct-2024 20:11    205K
font-hanazono-20170904-r1.apk                      25-Oct-2024 20:11     29M
font-intel-one-mono-1.3.0-r0.apk                   25-Oct-2024 20:11    281K
font-katex-0.16.2-r0.apk                           25-Oct-2024 20:11    852K
font-material-icons-4.0.0-r0.apk                   25-Oct-2024 20:11    652K
font-monaspace-1.101-r0.apk                        25-Oct-2024 20:11    1490
font-monaspace-argon-1.101-r0.apk                  25-Oct-2024 20:11      2M
font-monaspace-krypton-1.101-r0.apk                25-Oct-2024 20:11      2M
font-monaspace-neon-1.101-r0.apk                   25-Oct-2024 20:11      2M
font-monaspace-radon-1.101-r0.apk                  25-Oct-2024 20:11      3M
font-monaspace-xenon-1.101-r0.apk                  25-Oct-2024 20:11      2M
font-monocraft-4.0-r0.apk                          25-Oct-2024 20:11    677K
font-openmoji-15.0.0-r0.apk                        24-Dec-2024 22:52      4M
font-siji-20190218_git-r2.apk                      25-Oct-2024 20:11     24K
font-stix-otf-2.13-r0.apk                          25-Oct-2024 20:11      2M
font-stix-ttf-2.13-r0.apk                          25-Oct-2024 20:11    430K
font-tamzen-1.11.5-r1.apk                          25-Oct-2024 20:11     62K
font-tinos-0_git20210228-r0.apk                    25-Oct-2024 20:11    199K
font-tiresias-0_git20200704-r0.apk                 25-Oct-2024 20:11    568K
font-tiresias-doc-0_git20200704-r0.apk             25-Oct-2024 20:11     58K
foolsm-1.0.21-r0.apk                               25-Oct-2024 20:11     34K
foolsm-doc-1.0.21-r0.apk                           25-Oct-2024 20:11    3945
foolsm-openrc-1.0.21-r0.apk                        25-Oct-2024 20:11    1586
fpc-3.2.2-r4.apk                                   25-Oct-2024 20:11     70M
fpc-doc-3.2.2-r4.apk                               25-Oct-2024 20:11      1M
fpc-stage0-3.2.2-r3.apk                            25-Oct-2024 20:11      6M
fplll-5.5.0-r0.apk                                 18-Nov-2024 18:00     53K
fplll-dev-5.5.0-r0.apk                             18-Nov-2024 18:00     78K
fplll-libs-5.5.0-r0.apk                            18-Nov-2024 18:00      6M
fplll-static-5.5.0-r0.apk                          18-Nov-2024 18:00      7M
fplll-strategies-5.5.0-r0.apk                      18-Nov-2024 18:00      2M
fpp-0.9.5-r0.apk                                   25-Oct-2024 20:11     29K
fpp-doc-0.9.5-r0.apk                               25-Oct-2024 20:11    5729
fq-0.13.0-r4.apk                                   12-Apr-2025 03:09      5M
freealut-1.1.0-r1.apk                              25-Oct-2024 20:11     19K
freealut-dev-1.1.0-r1.apk                          25-Oct-2024 20:11     25K
freecad-1.0.0-r2.apk                               17-Feb-2025 09:34    107M
freecad-dev-1.0.0-r2.apk                           17-Feb-2025 09:34    706K
freecad-doc-1.0.0-r2.apk                           17-Feb-2025 09:34     13K
freediameter-1.5.0-r1.apk                          25-Oct-2024 20:11    9239
freediameter-dev-1.5.0-r1.apk                      25-Oct-2024 20:11     54K
freediameter-extensions-1.5.0-r1.apk               25-Oct-2024 20:11    323K
freediameter-libfdcore-1.5.0-r1.apk                25-Oct-2024 20:11    159K
freediameter-libfdproto-1.5.0-r1.apk               25-Oct-2024 20:11     87K
frescobaldi-3.3.0-r1.apk                           25-Oct-2024 20:11      3M
frescobaldi-doc-3.3.0-r1.apk                       25-Oct-2024 20:11    2540
frescobaldi-pyc-3.3.0-r1.apk                       25-Oct-2024 20:11      1M
freshrss-1.23.1-r1.apk                             25-Oct-2024 20:11      2M
freshrss-doc-1.23.1-r1.apk                         25-Oct-2024 20:11    751K
freshrss-lang-1.23.1-r1.apk                        25-Oct-2024 20:11    379K
freshrss-mysql-1.23.1-r1.apk                       25-Oct-2024 20:11    1494
freshrss-openrc-1.23.1-r1.apk                      25-Oct-2024 20:11    2585
freshrss-pgsql-1.23.1-r1.apk                       25-Oct-2024 20:11    1496
freshrss-sqlite-1.23.1-r1.apk                      25-Oct-2024 20:11    1498
freshrss-themes-1.23.1-r1.apk                      25-Oct-2024 20:11      2M
fulcrum-1.9.8-r1.apk                               25-Oct-2024 20:11    967K
fulcrum-admin-1.9.8-r1.apk                         25-Oct-2024 20:11    8103
fulcrum-doc-1.9.8-r1.apk                           25-Oct-2024 20:11     22K
fungw-1.2.1-r0.apk                                 30-Dec-2024 09:48     13K
fungw-c-1.2.1-r0.apk                               30-Dec-2024 09:48    8389
fungw-cli-1.2.1-r0.apk                             30-Dec-2024 09:48     22K
fungw-dev-1.2.1-r0.apk                             30-Dec-2024 09:48    7750
fungw-doc-1.2.1-r0.apk                             30-Dec-2024 09:48     13K
fungw-duktape-1.2.1-r0.apk                         30-Dec-2024 09:48     17K
fungw-fawk-1.2.1-r0.apk                            30-Dec-2024 09:48    112K
fungw-lua-1.2.1-r0.apk                             30-Dec-2024 09:48     15K
fungw-mujs-1.2.1-r0.apk                            30-Dec-2024 09:48     17K
fungw-perl-1.2.1-r0.apk                            30-Dec-2024 09:48     46K
fungw-python3-1.2.1-r0.apk                         30-Dec-2024 09:48     26K
fungw-tcl-1.2.1-r0.apk                             30-Dec-2024 09:48     13K
fusee-nano-0.5.3-r1.apk                            25-Oct-2024 20:11     21K
fusee-nano-udev-0.5.3-r1.apk                       25-Oct-2024 20:11    1746
fuseiso-20070708-r0.apk                            14-Apr-2025 01:10     17K
fuseiso-doc-20070708-r0.apk                        14-Apr-2025 01:10    2649
fusesoc-2.3-r0.apk                                 25-Oct-2024 20:11     46K
fusesoc-pyc-2.3-r0.apk                             25-Oct-2024 20:11     89K
fxfloorboard-katana-mk2-20240515-r1.apk            25-Oct-2024 20:11      6M
fxfloorboard-katana-mk2-doc-20240515-r1.apk        25-Oct-2024 20:11      1M
fyi-1.0.4-r0.apk                                   25-Oct-2024 20:11     10K
fyi-bash-completion-1.0.4-r0.apk                   25-Oct-2024 20:11    1817
fyi-doc-1.0.4-r0.apk                               25-Oct-2024 20:11    7180
fyi-fish-completion-1.0.4-r0.apk                   25-Oct-2024 20:11    2233
fzy-1.0-r3.apk                                     25-Oct-2024 20:11     14K
fzy-doc-1.0-r3.apk                                 25-Oct-2024 20:11    2794
gambit-4.9.5-r1.apk                                07-Apr-2025 07:48     10M
gambit-dev-4.9.5-r1.apk                            07-Apr-2025 07:48      7M
gambit-doc-4.9.5-r1.apk                            07-Apr-2025 07:48    4439
game-devices-udev-0.23-r0.apk                      12-Nov-2024 10:57    6312
gamemode-1.8.2-r0.apk                              05-Feb-2025 23:26     68K
gamemode-dev-1.8.2-r0.apk                          05-Feb-2025 23:26    5226
gamemode-doc-1.8.2-r0.apk                          05-Feb-2025 23:26    7724
gamja-1.0.0_beta9-r0.apk                           25-Oct-2024 20:11    599K
gamja-doc-1.0.0_beta9-r0.apk                       25-Oct-2024 20:11    2296
gammastep-2.0.9-r3.apk                             25-Oct-2024 20:11     90K
gammastep-doc-2.0.9-r3.apk                         25-Oct-2024 20:11     14K
gammastep-lang-2.0.9-r3.apk                        25-Oct-2024 20:11     78K
gammastep-pyc-2.0.9-r3.apk                         25-Oct-2024 20:11     17K
gatling-0.16-r6.apk                                25-Oct-2024 20:11    158K
gatling-doc-0.16-r6.apk                            25-Oct-2024 20:11    9294
gatling-openrc-0.16-r6.apk                         25-Oct-2024 20:11    2852
gaupol-1.12-r2.apk                                 25-Oct-2024 20:11    276K
gaupol-doc-1.12-r2.apk                             25-Oct-2024 20:11    2425
gaupol-lang-1.12-r2.apk                            25-Oct-2024 20:11    277K
gaupol-pyc-1.12-r2.apk                             25-Oct-2024 20:11    419K
gb-0.4.4-r30.apk                                   12-Apr-2025 03:09      7M
gcli-2.6.1-r0.apk                                  26-Jan-2025 17:29    122K
gcli-doc-2.6.1-r0.apk                              26-Jan-2025 17:29     28K
gdb-dashboard-0.17.4-r0.apk                        01-Mar-2025 21:38     23K
gdb-dashboard-doc-0.17.4-r0.apk                    01-Mar-2025 21:38    3100
gdcm-3.0.24-r0.apk                                 25-Oct-2024 20:11    399K
gdcm-dev-3.0.24-r0.apk                             25-Oct-2024 20:11    463K
gdcm-doc-3.0.24-r0.apk                             25-Oct-2024 20:11     55K
gdcm-doc-html-3.0.24-r0.apk                        25-Oct-2024 20:11      9M
gdcm-doc-pdf-3.0.24-r0.apk                         25-Oct-2024 20:11     14M
gearman-dev-1.1.21-r1.apk                          25-Oct-2024 20:11      1M
gearman-libs-1.1.21-r1.apk                         25-Oct-2024 20:11     87K
gearmand-1.1.21-r1.apk                             25-Oct-2024 20:11    197K
gearmand-doc-1.1.21-r1.apk                         25-Oct-2024 20:11    190K
gearmand-openrc-1.1.21-r1.apk                      25-Oct-2024 20:11    1881
gede-2.18.2-r1.apk                                 25-Oct-2024 20:11    290K
genact-1.4.2-r0.apk                                25-Oct-2024 20:11      1M
geoclue-stumbler-0.2.0-r0.apk                      31-Dec-2024 12:56     28K
geodns-3.3.0-r12.apk                               12-Apr-2025 03:09      5M
geodns-logs-3.3.0-r12.apk                          12-Apr-2025 03:09      5M
geodns-openrc-3.3.0-r12.apk                        12-Apr-2025 03:09    1826
geomyidae-0.34-r2.apk                              25-Oct-2024 20:11     16K
geomyidae-doc-0.34-r2.apk                          25-Oct-2024 20:11    7836
geomyidae-openrc-0.34-r2.apk                       25-Oct-2024 20:11    2037
geonames-0.3.1-r2.apk                              25-Oct-2024 20:11    827K
geonames-dev-0.3.1-r2.apk                          25-Oct-2024 20:11    3086
geonames-doc-0.3.1-r2.apk                          25-Oct-2024 20:11     13K
geonames-lang-0.3.1-r2.apk                         25-Oct-2024 20:11      5M
getmail6-6.19.07-r0.apk                            26-Jan-2025 15:48     71K
getmail6-doc-6.19.07-r0.apk                        26-Jan-2025 15:48    137K
getmail6-pyc-6.19.07-r0.apk                        26-Jan-2025 15:48    105K
getssl-2.48-r0.apk                                 25-Oct-2024 20:11     82K
getting-things-gnome-0.6-r4.apk                    08-Dec-2024 21:39    715K
getting-things-gnome-doc-0.6-r4.apk                08-Dec-2024 21:39    497K
getting-things-gnome-lang-0.6-r4.apk               08-Dec-2024 21:39    229K
gf2x-1.3.0-r1.apk                                  25-Oct-2024 20:11     42K
gf2x-dev-1.3.0-r1.apk                              25-Oct-2024 20:11     64K
ghc-filesystem-1.5.14-r0.apk                       25-Oct-2024 20:11     39K
ghostcloud-0.9.9.5-r2.apk                          25-Oct-2024 20:11    465K
ghq-1.7.1-r4.apk                                   12-Apr-2025 03:09      4M
ghq-bash-completion-1.7.1-r4.apk                   12-Apr-2025 03:09    1838
ghq-doc-1.7.1-r4.apk                               12-Apr-2025 03:09    5563
ghq-fish-completion-1.7.1-r4.apk                   12-Apr-2025 03:09    2539
ghq-zsh-completion-1.7.1-r4.apk                    12-Apr-2025 03:09    2523
gimp-plugin-gmic-3.3.5-r1.apk                      21-Nov-2024 22:55      1M
ginac-1.8.8-r0.apk                                 19-Mar-2025 11:45      1M
ginac-dev-1.8.8-r0.apk                             19-Mar-2025 11:45     68K
ginac-doc-1.8.8-r0.apk                             19-Mar-2025 11:45     97K
ginger-2.4.0-r7.apk                                25-Oct-2024 20:11    257K
ginger-lang-2.4.0-r7.apk                           25-Oct-2024 20:11    125K
ginger-pyc-2.4.0-r7.apk                            25-Oct-2024 20:11    207K
gingerbase-2.3.0-r7.apk                            25-Oct-2024 20:11    195K
gingerbase-lang-2.3.0-r7.apk                       25-Oct-2024 20:11     53K
gingerbase-pyc-2.3.0-r7.apk                        25-Oct-2024 20:11     61K
git-bug-0.8.0-r18.apk                              12-Apr-2025 03:09     10M
git-bug-bash-completion-0.8.0-r18.apk              12-Apr-2025 03:09    5315
git-bug-doc-0.8.0-r18.apk                          12-Apr-2025 03:09     17K
git-bug-fish-completion-0.8.0-r18.apk              12-Apr-2025 03:09    4029
git-bug-zsh-completion-0.8.0-r18.apk               12-Apr-2025 03:09    4108
git-cola-4.12.0-r0.apk                             23-Feb-2025 20:02    862K
git-cola-doc-4.12.0-r0.apk                         23-Feb-2025 20:02    5929
git-cola-pyc-4.12.0-r0.apk                         23-Feb-2025 20:02    774K
git-extras-7.3.0-r0.apk                            18-Nov-2024 18:00     55K
git-extras-bash-completion-7.3.0-r0.apk            18-Nov-2024 18:00    2890
git-extras-doc-7.3.0-r0.apk                        18-Nov-2024 18:00     63K
git-graph-0.6.0-r0.apk                             25-Nov-2024 23:38    876K
git-graph-doc-0.6.0-r0.apk                         25-Nov-2024 23:38    6395
git-quick-stats-2.5.8-r0.apk                       25-Oct-2024 20:11     12K
git-quick-stats-doc-2.5.8-r0.apk                   25-Oct-2024 20:11    2946
git-revise-0.7.0-r5.apk                            25-Oct-2024 20:11     24K
git-revise-doc-0.7.0-r5.apk                        25-Oct-2024 20:11    5077
git-revise-pyc-0.7.0-r5.apk                        25-Oct-2024 20:11     42K
git-secret-0.5.0-r0.apk                            25-Oct-2024 20:11     15K
git-secret-doc-0.5.0-r0.apk                        25-Oct-2024 20:11     17K
git2json-0.2.3-r8.apk                              25-Oct-2024 20:11    7601
git2json-pyc-0.2.3-r8.apk                          25-Oct-2024 20:11    5826
gitoxide-0.14.0-r1.apk                             25-Oct-2024 20:11      3M
gkrellm-2.3.11-r0.apk                              08-Jan-2025 22:36    346K
gkrellm-dev-2.3.11-r0.apk                          08-Jan-2025 22:36     17K
gkrellm-doc-2.3.11-r0.apk                          08-Jan-2025 22:36     19K
gkrellm-lang-2.3.11-r0.apk                         08-Jan-2025 22:36    379K
gkrellm-server-2.3.11-r0.apk                       08-Jan-2025 22:36     52K
glfw-wayland-3.3.8-r3.apk                          25-Oct-2024 20:11     63K
glfw-wayland-dbg-3.3.8-r3.apk                      25-Oct-2024 20:11    179K
glfw-wayland-dev-3.3.8-r3.apk                      25-Oct-2024 20:11     46K
gliderlabs-sigil-0.11.0-r4.apk                     12-Apr-2025 03:09      3M
gliderlabs-sigil-doc-0.11.0-r4.apk                 12-Apr-2025 03:09    2479
glmark2-2023.01-r1.apk                             25-Oct-2024 20:11      8M
glmark2-doc-2023.01-r1.apk                         25-Oct-2024 20:11     13K
gloox-1.0.28-r0.apk                                25-Oct-2024 20:11    410K
gloox-dev-1.0.28-r0.apk                            25-Oct-2024 20:11    878K
glow-2.1.0-r2.apk                                  12-Apr-2025 03:09      6M
glow-bash-completion-2.1.0-r2.apk                  12-Apr-2025 03:09    6253
glow-doc-2.1.0-r2.apk                              12-Apr-2025 03:09    3281
glow-fish-completion-2.1.0-r2.apk                  12-Apr-2025 03:09    4429
glow-zsh-completion-2.1.0-r2.apk                   12-Apr-2025 03:09    4148
glslviewer-3.2.4-r1.apk                            08-Feb-2025 23:44      2M
gmcapsule-0.9.7-r0.apk                             08-Jan-2025 19:12     36K
gmcapsule-openrc-0.9.7-r0.apk                      08-Jan-2025 19:12    1973
gmcapsule-pyc-0.9.7-r0.apk                         08-Jan-2025 19:12     61K
gmenuharness-0.1.4-r2.apk                          17-Feb-2025 09:34     40K
gmenuharness-dev-0.1.4-r2.apk                      17-Feb-2025 09:34    4162
gmic-3.3.5-r1.apk                                  21-Nov-2024 22:55     11M
gmic-bash-completion-3.3.5-r1.apk                  21-Nov-2024 22:55     28K
gmic-dev-3.3.5-r1.apk                              21-Nov-2024 22:55    7866
gmic-doc-3.3.5-r1.apk                              21-Nov-2024 22:55    219K
gmic-libs-3.3.5-r1.apk                             21-Nov-2024 22:55      2M
gmic-qt-3.3.5-r1.apk                               21-Nov-2024 22:55      2M
gmid-2.1.1-r0.apk                                  27-Nov-2024 19:26    234K
gmid-doc-2.1.1-r0.apk                              27-Nov-2024 19:26     14K
gmid-openrc-2.1.1-r0.apk                           27-Nov-2024 19:26    2248
gnome-common-3.18.0-r3.apk                         25-Oct-2024 20:11     12K
gnome-latex-3.48.0-r0.apk                          07-Apr-2025 21:29    368K
gnome-latex-doc-3.48.0-r0.apk                      07-Apr-2025 21:29    108K
gnome-latex-lang-3.48.0-r0.apk                     07-Apr-2025 21:29    530K
gnome-metronome-1.3.0-r0.apk                       25-Oct-2024 20:11    451K
gnome-metronome-lang-1.3.0-r0.apk                  25-Oct-2024 20:11     25K
gnome-user-share-48.0-r0.apk                       19-Mar-2025 12:55    344K
gnome-user-share-lang-48.0-r0.apk                  19-Mar-2025 12:55     67K
gnu-apl-1.9-r0.apk                                 25-Oct-2024 20:11      1M
gnu-apl-dev-1.9-r0.apk                             25-Oct-2024 20:11    560K
gnu-apl-doc-1.9-r0.apk                             25-Oct-2024 20:11      2M
go-jsonnet-0.20.0-r13.apk                          12-Apr-2025 03:09      6M
go-mtpfs-1.0.0-r26.apk                             12-Apr-2025 03:09      1M
go-passbolt-cli-0.3.2-r2.apk                       12-Apr-2025 03:09      6M
goawk-1.29.1-r4.apk                                12-Apr-2025 03:09      1M
goawk-doc-1.29.1-r4.apk                            12-Apr-2025 03:09     44K
gobang-0.1.0_alpha5-r1.apk                         25-Oct-2024 20:11      2M
gobuster-3.6.0-r11.apk                             12-Apr-2025 03:09      4M
godot-4.3-r5.apk                                   11-Apr-2025 18:13     47M
godot-doc-4.3-r5.apk                               11-Apr-2025 18:13    4659
godot-templates-4.3-r5.apk                         11-Apr-2025 18:13     44M
gomp-1.0.0-r11.apk                                 12-Apr-2025 03:09      4M
goomwwm-1.0.0-r5.apk                               25-Oct-2024 20:11     46K
goreman-0.3.15-r12.apk                             12-Apr-2025 03:09      3M
gortr-0.14.8-r12.apk                               12-Apr-2025 03:09     11M
gortr-openrc-0.14.8-r12.apk                        12-Apr-2025 03:09    2008
goshs-1.0.3-r0.apk                                 20-Apr-2025 05:16      6M
goshs-doc-1.0.3-r0.apk                             20-Apr-2025 05:16    2322
gost-2.12.0-r5.apk                                 12-Apr-2025 03:09      6M
gost-doc-2.12.0-r5.apk                             12-Apr-2025 03:09    2307
gosu-1.17-r9.apk                                   12-Apr-2025 03:09      1M
gotestsum-1.12.1-r2.apk                            12-Apr-2025 03:09      2M
gotify-2.5.0-r5.apk                                12-Apr-2025 03:09     10M
gotify-cli-2.3.2-r4.apk                            12-Apr-2025 03:09      4M
gotify-openrc-2.5.0-r5.apk                         12-Apr-2025 03:09    2048
goxel-0.15.1-r0.apk                                25-Oct-2024 20:11      2M
gpa-0.10.0-r2.apk                                  25-Oct-2024 20:11    252K
gpa-doc-0.10.0-r2.apk                              25-Oct-2024 20:11    2921
gpg-remailer-3.04.07-r1.apk                        25-Oct-2024 20:11     50K
gpg-remailer-doc-3.04.07-r1.apk                    25-Oct-2024 20:11    9710
gprbuild-22.0.0-r3.apk                             25-Oct-2024 20:11     13M
gpscorrelate-2.3-r0.apk                            27-Mar-2025 06:36     53K
gpscorrelate-cli-2.3-r0.apk                        27-Mar-2025 06:36     28K
gpscorrelate-doc-2.3-r0.apk                        27-Mar-2025 06:36    285K
gpscorrelate-lang-2.3-r0.apk                       27-Mar-2025 06:36     17K
granite7-7.5.0-r0.apk                              25-Oct-2024 20:11    124K
granite7-dev-7.5.0-r0.apk                          25-Oct-2024 20:11     44K
granite7-lang-7.5.0-r0.apk                         25-Oct-2024 20:11     52K
grcov-0.8.20-r0.apk                                11-Nov-2024 10:03      2M
greetd-mini-wl-greeter-0_git20230821-r0.apk        25-Oct-2024 20:11     20K
greetd-mini-wl-greeter-bash-completion-0_git202..> 25-Oct-2024 20:11    2248
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk    25-Oct-2024 20:11    3359
grip-4.2.4-r0.apk                                  25-Oct-2024 20:11    388K
grip-doc-4.2.4-r0.apk                              25-Oct-2024 20:11    6327
grip-lang-4.2.4-r0.apk                             25-Oct-2024 20:11    144K
gron-0.7.1-r23.apk                                 12-Apr-2025 03:09      3M
grpc-health-check-0.1.1-r3.apk                     25-Oct-2024 20:11   1019K
grpcui-1.4.3-r2.apk                                12-Apr-2025 03:09      9M
grpcurl-1.9.3-r1.apk                               12-Apr-2025 03:09      9M
gsettings-qt-1.0.0-r0.apk                          14-Mar-2025 06:19     31K
gsettings-qt-dev-1.0.0-r0.apk                      14-Mar-2025 06:19    3554
gsimplecal-2.5.1-r0.apk                            25-Oct-2024 20:11     17K
gsimplecal-doc-2.5.1-r0.apk                        25-Oct-2024 20:11    5943
gssdp-1.6.3-r0.apk                                 01-Dec-2024 12:58     47K
gssdp-dev-1.6.3-r0.apk                             01-Dec-2024 12:58     15K
gst-rtsp-server-1.24.12-r0.apk                     21-Feb-2025 17:10    247K
gst-rtsp-server-dev-1.24.12-r0.apk                 21-Feb-2025 17:10     93K
gstreamermm-1.10.0-r6.apk                          17-Feb-2025 09:34    511K
gstreamermm-dev-1.10.0-r6.apk                      17-Feb-2025 09:34    310K
gtk-session-lock-0.2.0-r0.apk                      31-Jan-2025 16:16     38K
gtk-session-lock-dev-0.2.0-r0.apk                  31-Jan-2025 16:16    5406
gtkhash-1.5-r0.apk                                 25-Oct-2024 20:11     90K
gtkhash-lang-1.5-r0.apk                            25-Oct-2024 20:11     47K
gtklock-4.0.0-r0.apk                               31-Jan-2025 16:16     20K
gtklock-doc-4.0.0-r0.apk                           31-Jan-2025 16:16    3043
gtkwave-3.3.120-r0.apk                             25-Oct-2024 20:11      3M
gtkwave-doc-3.3.120-r0.apk                         25-Oct-2024 20:11     27K
guake-3.10-r1.apk                                  25-Oct-2024 20:11    305K
guake-lang-3.10-r1.apk                             25-Oct-2024 20:11    188K
guake-pyc-3.10-r1.apk                              25-Oct-2024 20:11    186K
guestfs-tools-1.52.0-r1.apk                        25-Oct-2024 20:11    278K
guetzli-0_git20191025-r1.apk                       25-Oct-2024 20:11    138K
guetzli-dev-0_git20191025-r1.apk                   25-Oct-2024 20:11      2M
gufw-24.04-r3.apk                                  19-Nov-2024 21:42    596K
gufw-doc-24.04-r3.apk                              19-Nov-2024 21:42    4593
gufw-lang-24.04-r3.apk                             19-Nov-2024 21:42    855K
gufw-pyc-24.04-r3.apk                              19-Nov-2024 21:42     65K
guish-2.6.11-r0.apk                                24-Dec-2024 10:42    103K
guish-doc-2.6.11-r0.apk                            24-Dec-2024 10:42     61K
gupnp-1.6.8-r0.apk                                 20-Jan-2025 14:48     90K
gupnp-av-0.14.3-r0.apk                             15-Jan-2025 23:26     80K
gupnp-av-dev-0.14.3-r0.apk                         15-Jan-2025 23:26     41K
gupnp-dev-1.6.8-r0.apk                             20-Jan-2025 14:48     50K
gupnp-dlna-0.12.0-r0.apk                           01-Dec-2024 12:58     69K
gupnp-dlna-dev-0.12.0-r0.apk                       01-Dec-2024 12:58     24K
gupnp-doc-1.6.8-r0.apk                             20-Jan-2025 14:48    3839
gx-0.14.3-r29.apk                                  12-Apr-2025 03:09      5M
gx-doc-0.14.3-r29.apk                              12-Apr-2025 03:09    2329
gx-go-1.9.0-r31.apk                                12-Apr-2025 03:09      5M
gx-go-doc-1.9.0-r31.apk                            12-Apr-2025 03:09    2327
gxlimg-0_git20240711-r0.apk                        21-Jan-2025 19:44     21K
h4h5tools-2.2.5-r4.apk                             25-Oct-2024 20:11    109K
h4h5tools-dev-2.2.5-r4.apk                         25-Oct-2024 20:11    8960
h4h5tools-doc-2.2.5-r4.apk                         25-Oct-2024 20:11    2784
h4h5tools-static-2.2.5-r4.apk                      25-Oct-2024 20:11    103K
habitctl-0.1.0-r2.apk                              25-Oct-2024 20:11    332K
halp-0.2.0-r0.apk                                  25-Oct-2024 20:11    925K
halp-bash-completion-0.2.0-r0.apk                  25-Oct-2024 20:11    2227
halp-doc-0.2.0-r0.apk                              25-Oct-2024 20:11    7043
halp-fish-completion-0.2.0-r0.apk                  25-Oct-2024 20:11    2002
halp-zsh-completion-0.2.0-r0.apk                   25-Oct-2024 20:11    2461
hamster-time-tracker-3.0.3-r2.apk                  25-Oct-2024 20:11    156K
hamster-time-tracker-bash-completion-3.0.3-r2.apk  25-Oct-2024 20:11    2019
hamster-time-tracker-doc-3.0.3-r2.apk              25-Oct-2024 20:11    116K
hamster-time-tracker-lang-3.0.3-r2.apk             25-Oct-2024 20:11    206K
hamster-time-tracker-pyc-3.0.3-r2.apk              25-Oct-2024 20:11    358K
handlebars-1.0.0-r1.apk                            25-Oct-2024 20:11    107K
handlebars-dev-1.0.0-r1.apk                        25-Oct-2024 20:11     32K
handlebars-utils-1.0.0-r1.apk                      25-Oct-2024 20:11     10K
harminv-1.4.2-r1.apk                               25-Oct-2024 20:11    8147
harminv-dev-1.4.2-r1.apk                           25-Oct-2024 20:11    3201
harminv-doc-1.4.2-r1.apk                           25-Oct-2024 20:11    5799
harminv-libs-1.4.2-r1.apk                          25-Oct-2024 20:11     15K
hashcat-6.2.6-r0.apk                               25-Oct-2024 20:11     67M
hashcat-doc-6.2.6-r0.apk                           25-Oct-2024 20:11      2M
hatch-1.14.1-r0.apk                                11-Apr-2025 18:13    102K
hatch-pyc-1.14.1-r0.apk                            11-Apr-2025 18:13    216K
hatop-0.8.2-r0.apk                                 25-Oct-2024 20:11     18K
hatop-doc-0.8.2-r0.apk                             25-Oct-2024 20:11    3067
haxe-4.3.3-r1.apk                                  22-Mar-2025 14:35     10M
haxe-doc-4.3.3-r1.apk                              22-Mar-2025 14:35    7937
hctl-0.2.6-r0.apk                                  25-Oct-2024 20:11      1M
hddfancontrol-1.6.2-r0.apk                         25-Oct-2024 20:11     33K
hddfancontrol-openrc-1.6.2-r0.apk                  25-Oct-2024 20:11    2240
hddfancontrol-pyc-1.6.2-r0.apk                     25-Oct-2024 20:11     34K
hdf4-4.2.15-r2.apk                                 25-Oct-2024 20:11    240K
hdf4-dev-4.2.15-r2.apk                             25-Oct-2024 20:11    101K
hdf4-doc-4.2.15-r2.apk                             25-Oct-2024 20:11    6154
hdf4-tools-4.2.15-r2.apk                           25-Oct-2024 20:11    186K
heisenbridge-1.15.3-r0.apk                         20-Apr-2025 05:16     67K
heisenbridge-pyc-1.15.3-r0.apk                     20-Apr-2025 05:16    155K
helm-diff-3.9.13-r4.apk                            12-Apr-2025 03:09     23M
helm-ls-0.0.12-r8.apk                              12-Apr-2025 03:09     12M
helm-ls-doc-0.0.12-r8.apk                          12-Apr-2025 03:09    2310
helm-mapkubeapis-0.5.2-r4.apk                      12-Apr-2025 03:09     21M
helm-unittest-0.7.1-r4.apk                         12-Apr-2025 03:09     12M
helmfile-0.171.0-r1.apk                            12-Apr-2025 03:09     48M
helmfile-bash-completion-0.171.0-r1.apk            12-Apr-2025 03:09    5257
helmfile-doc-0.171.0-r1.apk                        12-Apr-2025 03:09    2310
helmfile-fish-completion-0.171.0-r1.apk            12-Apr-2025 03:09    4438
helmfile-zsh-completion-0.171.0-r1.apk             12-Apr-2025 03:09    4146
herbe-1.0.0-r0.apk                                 25-Oct-2024 20:11    5781
hex-0.6.0-r0.apk                                   25-Oct-2024 20:11    298K
hexdiff-0.0.53-r2.apk                              25-Oct-2024 20:11     16K
hexdiff-doc-0.0.53-r2.apk                          25-Oct-2024 20:11    3817
hexedit-1.6_git20230905-r0.apk                     25-Oct-2024 20:11     19K
hexedit-doc-1.6_git20230905-r0.apk                 25-Oct-2024 20:11    5728
hexer-1.4.0-r16.apk                                08-Nov-2024 00:09     61K
hexer-dev-1.4.0-r16.apk                            08-Nov-2024 00:09    7495
hfst-3.16.2-r0.apk                                 29-Mar-2025 15:58      1M
hfst-dev-3.16.2-r0.apk                             29-Mar-2025 15:58    209K
hfst-doc-3.16.2-r0.apk                             29-Mar-2025 15:58     70K
hfst-libs-3.16.2-r0.apk                            29-Mar-2025 15:58      2M
hiawatha-11.6-r0.apk                               25-Oct-2024 20:11    206K
hiawatha-doc-11.6-r0.apk                           25-Oct-2024 20:11     21K
hiawatha-letsencrypt-11.6-r0.apk                   25-Oct-2024 20:11     18K
hiawatha-openrc-11.6-r0.apk                        25-Oct-2024 20:11    1718
hickory-dns-0.25.1-r0.apk                          13-Apr-2025 23:14      3M
hickory-dns-openrc-0.25.1-r0.apk                   13-Apr-2025 23:14    1915
hickory-dns-utils-0.25.1-r0.apk                    13-Apr-2025 23:14      6M
hidrd-0.2.0_git20190603-r1.apk                     25-Oct-2024 20:11     74K
hidrd-dev-0.2.0_git20190603-r1.apk                 25-Oct-2024 20:11    129K
highfive-2.10.1-r0.apk                             15-Jan-2025 02:52     75K
hikari-2.3.3-r6.apk                                25-Oct-2024 20:11    947K
hikari-doc-2.3.3-r6.apk                            25-Oct-2024 20:11     14K
hikari-unlocker-2.3.3-r6.apk                       25-Oct-2024 20:11    4188
hilbish-2.3.4-r4.apk                               12-Apr-2025 03:09      4M
hilbish-doc-2.3.4-r4.apk                           12-Apr-2025 03:09     25K
hiprompt-gtk-py-0.8.0-r0.apk                       25-Oct-2024 20:11    8450
hitide-0.15.0-r0.apk                               25-Oct-2024 20:11      2M
hitide-openrc-0.15.0-r0.apk                        25-Oct-2024 20:11    2190
homebank-5.9-r0.apk                                11-Apr-2025 18:13      2M
homebank-lang-5.9-r0.apk                           11-Apr-2025 18:13    942K
hopalong-0.1-r3.apk                                25-Oct-2024 20:11     26K
horizon-0.9.6-r9.apk                               25-Oct-2024 20:11    225K
horizon-dbg-0.9.6-r9.apk                           25-Oct-2024 20:11      4M
horizon-dev-0.9.6-r9.apk                           25-Oct-2024 20:11    4994
horizon-doc-0.9.6-r9.apk                           25-Oct-2024 20:11     21K
horizon-image-0.9.6-r9.apk                         25-Oct-2024 20:11     73K
horizon-tools-0.9.6-r9.apk                         25-Oct-2024 20:11     86K
horust-0.1.7-r2.apk                                25-Oct-2024 20:11      1M
horust-doc-0.1.7-r2.apk                            25-Oct-2024 20:11    9388
howard-bc-7.0.3-r0.apk                             25-Oct-2024 20:11     69K
howard-bc-doc-7.0.3-r0.apk                         25-Oct-2024 20:11     39K
hping3-20051105-r4.apk                             25-Oct-2024 20:11     82K
hping3-doc-20051105-r4.apk                         25-Oct-2024 20:11     17K
hpnssh-18.6.2-r0.apk                               20-Mar-2025 11:57      2M
hpnssh-doc-18.6.2-r0.apk                           20-Mar-2025 11:57     99K
hsetroot-1.0.5-r1.apk                              25-Oct-2024 20:11     11K
hstdb-2.1.0-r2.apk                                 25-Oct-2024 20:11    894K
htmlcxx-0.87-r1.apk                                25-Oct-2024 20:11     66K
htmlcxx-dev-0.87-r1.apk                            25-Oct-2024 20:11     21K
htmldoc-1.9.20-r0.apk                              10-Dec-2024 16:43      2M
htmldoc-doc-1.9.20-r0.apk                          10-Dec-2024 16:43     98K
htslib-1.19-r0.apk                                 25-Oct-2024 20:11    397K
htslib-dev-1.19-r0.apk                             25-Oct-2024 20:11    115K
htslib-doc-1.19-r0.apk                             25-Oct-2024 20:11     23K
htslib-static-1.19-r0.apk                          25-Oct-2024 20:11    484K
htslib-tools-1.19-r0.apk                           25-Oct-2024 20:11      1M
httpie-oauth-1.0.2-r9.apk                          25-Oct-2024 20:11    3444
httpie-oauth-pyc-1.0.2-r9.apk                      25-Oct-2024 20:11    2363
httplz-2.1.0-r0.apk                                19-Apr-2025 18:49      1M
httplz-doc-2.1.0-r0.apk                            19-Apr-2025 18:49    2303
httpx-1.6.10-r3.apk                                12-Apr-2025 03:09     13M
httpx-doc-1.6.10-r3.apk                            12-Apr-2025 03:09    2339
httrack-3.49.2-r5.apk                              25-Oct-2024 20:11    749K
httrack-doc-3.49.2-r5.apk                          25-Oct-2024 20:11    528K
hub-2.14.2-r30.apk                                 12-Apr-2025 03:09      3M
hub-bash-completion-2.14.2-r30.apk                 12-Apr-2025 03:09    4702
hub-doc-2.14.2-r30.apk                             12-Apr-2025 03:09     42K
hub-fish-completion-2.14.2-r30.apk                 12-Apr-2025 03:09    3383
hub-zsh-completion-2.14.2-r30.apk                  12-Apr-2025 03:09    3802
hubble-cli-0.13.6-r4.apk                           12-Apr-2025 03:09     19M
hubble-cli-bash-completion-0.13.6-r4.apk           12-Apr-2025 03:09    5197
hubble-cli-fish-completion-0.13.6-r4.apk           12-Apr-2025 03:09    4438
hubble-cli-zsh-completion-0.13.6-r4.apk            12-Apr-2025 03:09    4153
hunspell-ca-es-3.0.7-r0.apk                        25-Oct-2024 20:11    731K
hurl-6.1.0-r0.apk                                  23-Mar-2025 12:44      2M
hurl-bash-completion-6.1.0-r0.apk                  23-Mar-2025 12:44    2274
hurl-doc-6.1.0-r0.apk                              23-Mar-2025 12:44    8932
hurl-fish-completion-6.1.0-r0.apk                  23-Mar-2025 12:44    3529
hurl-zsh-completion-6.1.0-r0.apk                   23-Mar-2025 12:44    4024
hurlfmt-6.1.0-r0.apk                               23-Mar-2025 12:44    862K
hw-probe-1.6.6-r0.apk                              11-Feb-2025 09:30    124K
hwatch-0.3.11-r0.apk                               25-Oct-2024 20:11   1007K
hwatch-doc-0.3.11-r0.apk                           25-Oct-2024 20:11    3114
hwatch-fish-completion-0.3.11-r0.apk               25-Oct-2024 20:11    1862
hwatch-zsh-completion-0.3.11-r0.apk                25-Oct-2024 20:11    1974
hx-1.0.15-r0.apk                                   25-Oct-2024 20:11     15K
hx-doc-1.0.15-r0.apk                               25-Oct-2024 20:11    4904
hy-1.0.0-r0.apk                                    25-Oct-2024 20:11     85K
hy-pyc-1.0.0-r0.apk                                25-Oct-2024 20:11    169K
hyfetch-1.99.0-r1.apk                              25-Oct-2024 20:11    433K
hyfetch-bash-completion-1.99.0-r1.apk              25-Oct-2024 20:11    3360
hyfetch-doc-1.99.0-r1.apk                          25-Oct-2024 20:11     17K
hyfetch-pyc-1.99.0-r1.apk                          25-Oct-2024 20:11    180K
hyfetch-zsh-completion-1.99.0-r1.apk               25-Oct-2024 20:11    2577
hypnotix-3.5-r0.apk                                25-Oct-2024 20:11    110K
hypnotix-lang-3.5-r0.apk                           25-Oct-2024 20:11     72K
hyx-2024.02.29-r0.apk                              25-Oct-2024 20:11     17K
hyx-doc-2024.02.29-r0.apk                          25-Oct-2024 20:11    2293
i2util-4.2.1-r1.apk                                25-Oct-2024 20:11     17K
i2util-dev-4.2.1-r1.apk                            25-Oct-2024 20:11     47K
i2util-doc-4.2.1-r1.apk                            25-Oct-2024 20:11    4827
i3bar-river-1.1.0-r0.apk                           14-Mar-2025 14:05    537K
i3status-rust-0.33.2-r0.apk                        11-Nov-2024 03:20      4M
i3status-rust-doc-0.33.2-r0.apk                    11-Nov-2024 03:20     34K
icesprog-0_git20240108-r1.apk                      25-Oct-2024 20:11    9149
icesprog-udev-0_git20240108-r1.apk                 25-Oct-2024 20:11    1940
icestorm-0_git20240517-r0.apk                      25-Oct-2024 20:11     17M
icingaweb2-module-pnp-1.1.0-r1.apk                 25-Oct-2024 20:11    9125
icingaweb2-module-pnp-doc-1.1.0-r1.apk             25-Oct-2024 20:11    1585
icmake-9.03.01-r0.apk                              25-Oct-2024 20:11    127K
icmake-doc-9.03.01-r0.apk                          25-Oct-2024 20:11    127K
identities-0.1.3-r0.apk                            07-Apr-2025 15:17     19K
identme-0.6.0-r0.apk                               03-Apr-2025 12:33     49K
idesk-1-r1.apk                                     25-Oct-2024 20:11     77K
ideviceinstaller-1.1.1-r4.apk                      30-Oct-2024 22:44     15K
ideviceinstaller-doc-1.1.1-r4.apk                  30-Oct-2024 22:44    2511
idevicerestore-1.0.0-r4.apk                        30-Oct-2024 22:44     84K
idevicerestore-doc-1.0.0-r4.apk                    30-Oct-2024 22:44    2599
ifuse-1.1.4-r5.apk                                 30-Oct-2024 22:44     11K
ifuse-doc-1.1.4-r5.apk                             30-Oct-2024 22:44    2397
igrep-1.2.0-r0.apk                                 25-Oct-2024 20:11      2M
igrep-doc-1.2.0-r0.apk                             25-Oct-2024 20:11    4260
ijq-1.1.0-r7.apk                                   12-Apr-2025 03:09      1M
ijq-doc-1.1.0-r7.apk                               12-Apr-2025 03:09    3604
imapfilter-2.8.2-r0.apk                            25-Oct-2024 20:11     44K
imapfilter-doc-2.8.2-r0.apk                        25-Oct-2024 20:11     13K
imediff-2.6-r1.apk                                 25-Oct-2024 20:11     42K
imediff-doc-2.6-r1.apk                             25-Oct-2024 20:11    6686
imediff-pyc-2.6-r1.apk                             25-Oct-2024 20:11     44K
imgdiff-1.0.2-r25.apk                              12-Apr-2025 03:09      1M
imgdiff-doc-1.0.2-r25.apk                          12-Apr-2025 03:09    2313
imrsh-0_git20210320-r1.apk                         25-Oct-2024 20:11    9651
imrsh-dbg-0_git20210320-r1.apk                     25-Oct-2024 20:11     18K
initify-0_git20171210-r1.apk                       25-Oct-2024 20:11    3362
innernet-1.6.1-r0.apk                              25-Oct-2024 20:11      3M
innernet-bash-completion-1.6.1-r0.apk              25-Oct-2024 20:11    3916
innernet-doc-1.6.1-r0.apk                          25-Oct-2024 20:11    9252
innernet-fish-completion-1.6.1-r0.apk              25-Oct-2024 20:11    4643
innernet-openrc-1.6.1-r0.apk                       25-Oct-2024 20:11    2355
innernet-zsh-completion-1.6.1-r0.apk               25-Oct-2024 20:11    5586
interception-tools-0.6.8-r2.apk                    25-Oct-2024 20:11    113K
interception-tools-openrc-0.6.8-r2.apk             25-Oct-2024 20:11    1728
invidtui-0.4.6-r4.apk                              12-Apr-2025 03:09      4M
ip2location-8.6.1-r0.apk                           25-Oct-2024 20:11     25K
ip2location-dev-8.6.1-r0.apk                       25-Oct-2024 20:11     12K
ip2location-doc-8.6.1-r0.apk                       25-Oct-2024 20:11    2778
iprange-1.0.4-r1.apk                               25-Oct-2024 20:11     21K
iprange-doc-1.0.4-r1.apk                           25-Oct-2024 20:11    4643
irccd-4.0.3-r0.apk                                 25-Oct-2024 20:11    268K
irccd-dev-4.0.3-r0.apk                             25-Oct-2024 20:11    9847
irccd-doc-4.0.3-r0.apk                             25-Oct-2024 20:11     80K
irccd-openrc-4.0.3-r0.apk                          25-Oct-2024 20:11    1864
ircd-hybrid-8.2.47-r0.apk                          05-Apr-2025 04:01    340K
ircd-hybrid-doc-8.2.47-r0.apk                      05-Apr-2025 04:01    3697
ircdog-0.5.4-r4.apk                                12-Apr-2025 03:09      3M
irctk-1.1.0-r0.apk                                 25-Oct-2024 20:11     29K
irctk-doc-1.1.0-r0.apk                             25-Oct-2024 20:11     16K
irctk-transport-fossil-1.1.0-r0.apk                25-Oct-2024 20:11     15K
isoinfo-0_git20131217-r1.apk                       25-Oct-2024 20:11    6961
isomd5sum-1.2.3-r3.apk                             25-Oct-2024 20:11     24K
isomd5sum-doc-1.2.3-r3.apk                         25-Oct-2024 20:11    3047
it87-src-1_p20240609-r0.apk                        25-Oct-2024 20:11     30K
itd-1.1.0-r12.apk                                  12-Apr-2025 03:09      9M
iwasm-2.2.0-r0.apk                                 05-Dec-2024 15:55    1610
iwasm-gc-2.2.0-r0.apk                              05-Dec-2024 15:55    213K
jack_capture-0.9.73_git20210429-r2.apk             25-Oct-2024 20:11     34K
jackal-0.64.0-r14.apk                              12-Apr-2025 03:09     12M
jackal-openrc-0.64.0-r14.apk                       12-Apr-2025 03:09    1884
jackdaw-0.3.1-r1.apk                               25-Oct-2024 20:11      2M
jackdaw-pyc-0.3.1-r1.apk                           25-Oct-2024 20:11    370K
jackline-0.1.0-r3.apk                              25-Oct-2024 20:11      4M
jacktrip-2.5.1-r0.apk                              17-Apr-2025 23:03   1011K
jacktrip-doc-2.5.1-r0.apk                          17-Apr-2025 23:03    6530
jalv-1.6.8-r1.apk                                  25-Oct-2024 20:11     52K
jalv-doc-1.6.8-r1.apk                              25-Oct-2024 20:11    3256
jalv-gtk-1.6.8-r1.apk                              25-Oct-2024 20:11     35K
jami-qt-20230925-r0.apk                            25-Oct-2024 20:11     14M
jami-qt-doc-20230925-r0.apk                        25-Oct-2024 20:11    2740
jami-qt-lang-20230925-r0.apk                       25-Oct-2024 20:11      2M
jaq-2.1.0-r0.apk                                   05-Feb-2025 22:20    636K
jaq-doc-2.1.0-r0.apk                               05-Feb-2025 22:20    2229
java-gdcm-3.0.24-r0.apk                            25-Oct-2024 20:11    644K
java-jtharness-6.0_p12-r0.apk                      25-Oct-2024 20:11      4M
java-jtharness-doc-6.0_p12-r0.apk                  25-Oct-2024 20:11     12K
java-jtharness-examples-6.0_p12-r0.apk             25-Oct-2024 20:11    219K
jbigkit-2.1-r2.apk                                 25-Oct-2024 20:11     63K
jbigkit-dev-2.1-r2.apk                             25-Oct-2024 20:11     30K
jbigkit-doc-2.1-r2.apk                             25-Oct-2024 20:11    7514
jdebp-redo-1.4-r1.apk                              25-Oct-2024 20:11    104K
jdebp-redo-doc-1.4-r1.apk                          25-Oct-2024 20:11     12K
jdupes-1.28.0-r0.apk                               25-Oct-2024 20:11     29K
jdupes-doc-1.28.0-r0.apk                           25-Oct-2024 20:11    9208
jedi-language-server-0.45.0-r0.apk                 22-Apr-2025 14:39     32K
jedi-language-server-pyc-0.45.0-r0.apk             22-Apr-2025 14:39     50K
jfrog-cli-2.45.0-r11.apk                           12-Apr-2025 03:09     10M
jhead-3.08-r0.apk                                  25-Oct-2024 20:11     32K
jhead-doc-3.08-r0.apk                              25-Oct-2024 20:11    8091
jotdown-0.7.0-r0.apk                               11-Mar-2025 20:12    234K
jrsonnet-cli-0.4.2-r1.apk                          25-Oct-2024 20:11    571K
jsmn-1.1.0-r2.apk                                  25-Oct-2024 20:11    4837
json2tsv-1.2-r0.apk                                25-Oct-2024 20:11    7112
json2tsv-doc-1.2-r0.apk                            25-Oct-2024 20:11    5369
json2tsv-jaq-1.2-r0.apk                            25-Oct-2024 20:11    1951
json2tsv-jaq-doc-1.2-r0.apk                        25-Oct-2024 20:11    2388
jsonnet-bundler-0.6.0-r4.apk                       12-Apr-2025 03:09      3M
jsonnet-language-server-0.15.0-r3.apk              12-Apr-2025 03:09      4M
junit2html-31.0.2-r0.apk                           25-Oct-2024 20:11     17K
junit2html-pyc-31.0.2-r0.apk                       25-Oct-2024 20:11     24K
jwt-cli-6.2.0-r0.apk                               14-Dec-2024 18:18    838K
k2-0_git20220807-r1.apk                            25-Oct-2024 20:11     98K
k3sup-0.13.6-r4.apk                                12-Apr-2025 03:09      3M
k3sup-bash-completion-0.13.6-r4.apk                12-Apr-2025 03:09    5143
k3sup-fish-completion-0.13.6-r4.apk                12-Apr-2025 03:09    4378
k3sup-zsh-completion-0.13.6-r4.apk                 12-Apr-2025 03:09    4094
kabmat-2.7.0-r0.apk                                25-Oct-2024 20:11     61K
kabmat-doc-2.7.0-r0.apk                            25-Oct-2024 20:11    3616
kalker-2.2.1-r0.apk                                25-Oct-2024 20:11    660K
kannel-1.5.0-r11.apk                               25-Oct-2024 20:11      6M
kannel-dev-1.5.0-r11.apk                           25-Oct-2024 20:11    888K
kannel-doc-1.5.0-r11.apk                           25-Oct-2024 20:11    6350
kapow-0.7.1-r12.apk                                12-Apr-2025 03:09      4M
katana-1.1.2-r2.apk                                12-Apr-2025 03:09     12M
katana-doc-1.1.2-r2.apk                            12-Apr-2025 03:09    2314
katarakt-0.2-r1.apk                                29-Jan-2025 19:46     91K
kbs2-0.7.2-r3.apk                                  25-Oct-2024 20:11      1M
kbs2-bash-completion-0.7.2-r3.apk                  25-Oct-2024 20:11    3192
kbs2-fish-completion-0.7.2-r3.apk                  25-Oct-2024 20:11    3314
kbs2-zsh-completion-0.7.2-r3.apk                   25-Oct-2024 20:11    4094
kcbench-0.9.12-r0.apk                              25-Apr-2025 06:18     37K
kcbench-doc-0.9.12-r0.apk                          25-Apr-2025 06:18     20K
kdiskmark-3.1.4-r1.apk                             25-Oct-2024 20:11    172K
kdiskmark-lang-3.1.4-r1.apk                        25-Oct-2024 20:11     27K
keepassxc-browser-1.8.9-r0.apk                     25-Oct-2024 20:11    876K
kerberoast-0.2.0-r1.apk                            25-Oct-2024 20:11    9850
kerberoast-pyc-0.2.0-r1.apk                        25-Oct-2024 20:11     15K
kew-3.1.2-r0.apk                                   27-Mar-2025 06:33    381K
kew-doc-3.1.2-r0.apk                               27-Mar-2025 06:33    3505
keybase-client-6.2.8-r9.apk                        12-Apr-2025 03:09     19M
keystone-0.9.2-r6.apk                              25-Oct-2024 20:11      1M
keystone-dev-0.9.2-r6.apk                          25-Oct-2024 20:11    7477
keystone-python-0.9.2-r6.apk                       25-Oct-2024 20:11      2M
keystone-python-pyc-0.9.2-r6.apk                   25-Oct-2024 20:11    9906
kfc-0.1.4-r0.apk                                   25-Oct-2024 20:11     58K
kgraphviewer-2.5.0-r0.apk                          25-Oct-2024 20:11      1M
kgraphviewer-dev-2.5.0-r0.apk                      25-Oct-2024 20:11    6440
kgraphviewer-lang-2.5.0-r0.apk                     25-Oct-2024 20:11    230K
khinsider-2.0.7-r19.apk                            12-Apr-2025 03:09      4M
khronos-4.0.1-r0.apk                               25-Oct-2024 20:11     56K
khronos-lang-4.0.1-r0.apk                          25-Oct-2024 20:11     26K
kimchi-3.0.0-r8.apk                                10-Feb-2025 22:47    529K
kimchi-lang-3.0.0-r8.apk                           10-Feb-2025 22:47    172K
kimchi-pyc-3.0.0-r8.apk                            10-Feb-2025 22:47    476K
kine-0.10.1-r12.apk                                12-Apr-2025 03:09      8M
kine-doc-0.10.1-r12.apk                            12-Apr-2025 03:09    5277
kirc-0.3.3-r0.apk                                  22-Feb-2025 11:09     15K
kirc-doc-0.3.3-r0.apk                              22-Feb-2025 11:09    2845
kismet-0.202307.1-r5.apk                           11-Apr-2025 18:13     12M
kismet-linux-bluetooth-0.202307.1-r5.apk           11-Apr-2025 18:13     45K
kismet-linux-wifi-0.202307.1-r5.apk                11-Apr-2025 18:13     65K
kismet-logtools-0.202307.1-r5.apk                  11-Apr-2025 18:13      1M
kismet-nrf-51822-0.202307.1-r5.apk                 11-Apr-2025 18:13     42K
kismet-nxp-kw41z-0.202307.1-r5.apk                 11-Apr-2025 18:13     43K
kjv-0_git20221103-r0.apk                           25-Oct-2024 20:11      2M
klevernotes-1.1.0-r0.apk                           25-Oct-2024 20:11      3M
klevernotes-lang-1.1.0-r0.apk                      25-Oct-2024 20:11    142K
kmscon-9.0.0-r0.apk                                25-Oct-2024 20:11    800K
kmscon-doc-9.0.0-r0.apk                            25-Oct-2024 20:11    7926
knative-client-1.17.0-r3.apk                       12-Apr-2025 03:09     24M
knative-client-bash-completion-1.17.0-r3.apk       12-Apr-2025 03:09     10K
knative-client-fish-completion-1.17.0-r3.apk       12-Apr-2025 03:09    4407
knative-client-zsh-completion-1.17.0-r3.apk        12-Apr-2025 03:09    4134
knxd-0.14.61-r1.apk                                14-Dec-2024 19:46    408K
knxd-dev-0.14.61-r1.apk                            14-Dec-2024 19:46     24K
ko-0.17.1-r4.apk                                   12-Apr-2025 03:09     11M
ko-bash-completion-0.17.1-r4.apk                   12-Apr-2025 03:09    5169
ko-fish-completion-0.17.1-r4.apk                   12-Apr-2025 03:09    4397
ko-zsh-completion-0.17.1-r4.apk                    12-Apr-2025 03:09    4120
kodaskanna-0.2.2-r0.apk                            20-Jan-2025 08:16     55K
kodaskanna-lang-0.2.2-r0.apk                       20-Jan-2025 08:16     23K
komikku-1.74.0-r0.apk                              07-Apr-2025 18:04    401K
komikku-lang-1.74.0-r0.apk                         07-Apr-2025 18:04    263K
komikku-pyc-1.74.0-r0.apk                          07-Apr-2025 18:04    758K
kompose-1.31.2-r9.apk                              12-Apr-2025 03:09      8M
kompose-bash-completion-1.31.2-r9.apk              12-Apr-2025 03:09    5734
kompose-fish-completion-1.31.2-r9.apk              12-Apr-2025 03:09    4480
kompose-zsh-completion-1.31.2-r9.apk               12-Apr-2025 03:09    6949
kondo-0.8-r0.apk                                   25-Oct-2024 20:11    686K
kondo-bash-completion-0.8-r0.apk                   25-Oct-2024 20:11    2153
kondo-fish-completion-0.8-r0.apk                   25-Oct-2024 20:11    2152
kondo-zsh-completion-0.8-r0.apk                    25-Oct-2024 20:11    2518
krita-plugin-gmic-3.2.4.1-r3.apk                   25-Oct-2024 20:11      3M
ktx-4.3.2-r0.apk                                   25-Oct-2024 20:11      1M
ktx-dev-4.3.2-r0.apk                               25-Oct-2024 20:11     29K
ktx-libs-4.3.2-r0.apk                              25-Oct-2024 20:11      1M
kube-no-trouble-0.7.3-r4.apk                       12-Apr-2025 03:09     14M
kubeconform-0.6.6-r6.apk                           12-Apr-2025 03:09      4M
kubectl-krew-0.4.5-r1.apk                          12-Apr-2025 03:09      5M
kubectl-oidc_login-1.32.3-r1.apk                   12-Apr-2025 03:09      6M
kubeone-1.9.2-r3.apk                               12-Apr-2025 03:09     28M
kubeone-bash-completion-1.9.2-r3.apk               12-Apr-2025 03:09    6846
kubeone-doc-1.9.2-r3.apk                           12-Apr-2025 03:09     20K
kubeone-zsh-completion-1.9.2-r3.apk                12-Apr-2025 03:09    4142
kubepug-1.7.1-r9.apk                               12-Apr-2025 03:09     17M
kubepug-bash-completion-1.7.1-r9.apk               12-Apr-2025 03:09    5253
kubepug-fish-completion-1.7.1-r9.apk               12-Apr-2025 03:09    4439
kubepug-zsh-completion-1.7.1-r9.apk                12-Apr-2025 03:09    4153
kubeseal-0.29.0-r0.apk                             20-Apr-2025 20:14     12M
kubeseal-doc-0.29.0-r0.apk                         20-Apr-2025 20:14    5662
kubesplit-0.3.3-r1.apk                             25-Oct-2024 20:11     13K
kubesplit-pyc-0.3.3-r1.apk                         25-Oct-2024 20:11     13K
lavacli-2.2.0-r0.apk                               21-Mar-2025 18:44     48K
lavacli-doc-2.2.0-r0.apk                           21-Mar-2025 18:44     34K
lavacli-pyc-2.2.0-r0.apk                           21-Mar-2025 18:44     84K
laze-0.1.31-r0.apk                                 18-Feb-2025 22:39      1M
laze-bash-completion-0.1.31-r0.apk                 18-Feb-2025 22:39    3138
laze-doc-0.1.31-r0.apk                             18-Feb-2025 22:39    3623
laze-fish-completion-0.1.31-r0.apk                 18-Feb-2025 22:39    3460
laze-zsh-completion-0.1.31-r0.apk                  18-Feb-2025 22:39    3641
lazymc-0.2.11-r0.apk                               25-Oct-2024 20:11      1M
lazymc-openrc-0.2.11-r0.apk                        25-Oct-2024 20:11    2071
lbb-0.9.1-r2.apk                                   12-Apr-2025 03:09      3M
lbb-doc-0.9.1-r2.apk                               12-Apr-2025 03:09     19K
ldapdomaindump-0.9.4-r1.apk                        25-Oct-2024 20:11     18K
ldapdomaindump-pyc-0.9.4-r1.apk                    25-Oct-2024 20:11     31K
ledmon-1.0.0-r0.apk                                25-Oct-2024 20:11     81K
ledmon-doc-1.0.0-r0.apk                            25-Oct-2024 20:11     14K
lefthook-1.11.9-r0.apk                             12-Apr-2025 22:41      5M
lefthook-doc-1.11.9-r0.apk                         12-Apr-2025 22:41    2298
legume-1.4.2-r8.apk                                12-Apr-2025 03:09      1M
legume-doc-1.4.2-r8.apk                            12-Apr-2025 03:09     12K
leptosfmt-0.1.33-r0.apk                            25-Mar-2025 15:46      1M
leptosfmt-doc-0.1.33-r0.apk                        25-Mar-2025 15:46    6187
level-zero-1.21.1-r0.apk                           24-Apr-2025 08:45    601K
level-zero-doc-1.21.1-r0.apk                       24-Apr-2025 08:45    2316
levmar-dev-2.6-r0.apk                              25-Oct-2024 20:11     48K
lfm-3.1-r4.apk                                     25-Oct-2024 20:11     88K
lfm-doc-3.1-r4.apk                                 25-Oct-2024 20:11    2831
lfm-pyc-3.1-r4.apk                                 25-Oct-2024 20:11    134K
lgogdownloader-3.16-r1.apk                         25-Mar-2025 15:24    386K
lgogdownloader-doc-3.16-r1.apk                     25-Mar-2025 15:24    8711
libabigail-2.3-r0.apk                              25-Oct-2024 20:11    925K
libabigail-bash-completion-2.3-r0.apk              25-Oct-2024 20:11    3036
libabigail-dev-2.3-r0.apk                          25-Oct-2024 20:11      1M
libabigail-doc-2.3-r0.apk                          25-Oct-2024 20:11     61K
libabigail-tools-2.3-r0.apk                        25-Oct-2024 20:11    113K
libandroidfw-0_git20250325-r0.apk                  14-Apr-2025 17:11    446K
libandroidfw-dev-0_git20250325-r0.apk              14-Apr-2025 17:11    7318
libantic-0.2.5-r0.apk                              25-Oct-2024 20:11     49K
libantic-dev-0.2.5-r0.apk                          25-Oct-2024 20:11    6502
libantlr3c-3.4-r3.apk                              25-Oct-2024 20:11     55K
libantlr3c-dev-3.4-r3.apk                          25-Oct-2024 20:11     58K
libantlr4-4.13.2-r0.apk                            12-Dec-2024 11:20    496K
libantlr4-dev-4.13.2-r0.apk                        12-Dec-2024 11:20    951K
libapk3-3.0.0_rc4_git20250320-r0.apk               24-Mar-2025 11:33    135K
libarb-2.23.0-r2.apk                               25-Oct-2024 20:11      2M
libarb-dev-2.23.0-r2.apk                           25-Oct-2024 20:11     54K
libarb-static-2.23.0-r2.apk                        25-Oct-2024 20:11      2M
libaudec-0.3.4-r3.apk                              25-Oct-2024 20:11     30K
libaudec-dev-0.3.4-r3.apk                          25-Oct-2024 20:11    4384
libaudec-static-0.3.4-r3.apk                       25-Oct-2024 20:11     33K
libaudec-tools-0.3.4-r3.apk                        25-Oct-2024 20:11     30K
libb64-2.0.0.1-r0.apk                              25-Oct-2024 20:11    4790
libb64-dev-2.0.0.1-r0.apk                          25-Oct-2024 20:11    6318
libb64-doc-2.0.0.1-r0.apk                          25-Oct-2024 20:11    8231
libbamf-0.5.6-r1.apk                               25-Oct-2024 20:11    151K
libbamf-dev-0.5.6-r1.apk                           25-Oct-2024 20:11    6569
libbamf-doc-0.5.6-r1.apk                           25-Oct-2024 20:11     31K
libbraiding-1.3.1-r0.apk                           18-Nov-2024 18:00    109K
libbraiding-dev-1.3.1-r0.apk                       18-Nov-2024 18:00     15K
libbsoncxx-3.8.0-r0.apk                            25-Oct-2024 20:11     48K
libbsoncxx-dev-3.8.0-r0.apk                        25-Oct-2024 20:11     39K
libcli-1.10.7-r0.apk                               25-Oct-2024 20:11     32K
libcork-0.15.0-r7.apk                              25-Oct-2024 20:11     36K
libcork-dev-0.15.0-r7.apk                          25-Oct-2024 20:11     30K
libcork-tools-0.15.0-r7.apk                        25-Oct-2024 20:11    4709
libcorkipset-1.1.1-r4.apk                          25-Oct-2024 20:11     14K
libcorkipset-dev-1.1.1-r4.apk                      25-Oct-2024 20:11    8284
libcorkipset-tools-1.1.1-r4.apk                    25-Oct-2024 20:11     11K
libcotp-3.1.0-r0.apk                               25-Oct-2024 20:11    9224
libcotp-dev-3.1.0-r0.apk                           25-Oct-2024 20:11    2523
libcpdf-2.8-r0.apk                                 23-Mar-2025 07:18      2M
libcpdf-dev-2.8-r0.apk                             23-Mar-2025 07:18     17K
libcpdf-static-2.8-r0.apk                          23-Mar-2025 07:18      3M
libctl-4.5.1-r1.apk                                25-Oct-2024 20:11     93K
libctl-dev-4.5.1-r1.apk                            25-Oct-2024 20:11     39K
libctl-doc-4.5.1-r1.apk                            25-Oct-2024 20:11    3090
libcyaml-1.4.2-r0.apk                              25-Oct-2024 20:11     23K
libcyaml-dev-1.4.2-r0.apk                          25-Oct-2024 20:11     13K
libcyaml-doc-1.4.2-r0.apk                          25-Oct-2024 20:11    8852
libcyaml-static-1.4.2-r0.apk                       25-Oct-2024 20:11     27K
libdbusaccess-1.0.20-r0.apk                        13-Apr-2025 22:18     19K
libdbusaccess-dev-1.0.20-r0.apk                    13-Apr-2025 22:18    5118
libdcmtk-3.6.9-r0.apk                              19-Jan-2025 17:13      7M
libdng-0.2.1-r0.apk                                27-Dec-2024 22:10     12K
libdng-dev-0.2.1-r0.apk                            27-Dec-2024 22:10    3273
libdng-doc-0.2.1-r0.apk                            27-Dec-2024 22:10    4298
libdng-utils-0.2.1-r0.apk                          27-Dec-2024 22:10    6367
libeantic-2.0.2-r1.apk                             25-Oct-2024 20:11     85K
libeantic-dev-2.0.2-r1.apk                         25-Oct-2024 20:11     18K
libecap-1.0.1-r1.apk                               25-Oct-2024 20:11     14K
libecap-dev-1.0.1-r1.apk                           25-Oct-2024 20:11     11K
libecap-static-1.0.1-r1.apk                        25-Oct-2024 20:11     17K
libecm-7.0.5-r1.apk                                25-Oct-2024 20:11    240K
libemf2svg-1.1.0-r2.apk                            25-Oct-2024 20:11    177K
libemf2svg-utils-1.1.0-r2.apk                      25-Oct-2024 20:11     19K
liberasurecode-1.6.3-r1.apk                        25-Oct-2024 20:11     40K
liberasurecode-dev-1.6.3-r1.apk                    25-Oct-2024 20:11     18K
libettercap-0.8.3.1-r3.apk                         25-Oct-2024 20:11    200K
libfishsound-1.0.0-r1.apk                          25-Oct-2024 20:11     10K
libfishsound-dev-1.0.0-r1.apk                      25-Oct-2024 20:11     57K
libfishsound-doc-1.0.0-r1.apk                      25-Oct-2024 20:11     75K
libfoma-0.10.0_git20240712-r0.apk                  25-Oct-2024 20:11    105K
libfort-0.4.2-r0.apk                               25-Oct-2024 20:11     31K
libfort-dev-0.4.2-r0.apk                           25-Oct-2024 20:11     17K
libfyaml-0.9-r0.apk                                25-Oct-2024 20:11    293K
libfyaml-dev-0.9-r0.apk                            25-Oct-2024 20:11     42K
libfyaml-doc-0.9-r0.apk                            25-Oct-2024 20:11    7598
libgdcm-3.0.24-r0.apk                              25-Oct-2024 20:11      3M
libgivaro-4.2.0-r2.apk                             25-Oct-2024 20:11     83K
libgivaro-dev-4.2.0-r2.apk                         25-Oct-2024 20:11    244K
libgivaro-static-4.2.0-r2.apk                      25-Oct-2024 20:11     97K
libgrapheme-1-r0.apk                               25-Oct-2024 20:11     10K
libgrapheme-dev-1-r0.apk                           25-Oct-2024 20:11     10K
libgrapheme-doc-1-r0.apk                           25-Oct-2024 20:11    8158
libguestfs-1.52.0-r1.apk                           25-Oct-2024 20:11    318K
libguestfs-dev-1.52.0-r1.apk                       25-Oct-2024 20:11     29K
libguestfs-doc-1.52.0-r1.apk                       25-Oct-2024 20:11    560K
libguestfs-static-1.52.0-r1.apk                    25-Oct-2024 20:11    447K
libhomfly-1.02_p6-r1.apk                           25-Oct-2024 20:11     16K
libhomfly-dev-1.02_p6-r1.apk                       25-Oct-2024 20:11     20K
libhwpwm-0.4.4-r0.apk                              25-Oct-2024 20:11    6238
libhwpwm-dev-0.4.4-r0.apk                          25-Oct-2024 20:11    6015
libhwpwm-doc-0.4.4-r0.apk                          25-Oct-2024 20:11     13K
libibmad-1.3.13-r2.apk                             25-Oct-2024 20:11     30K
libibmad-dev-1.3.13-r2.apk                         25-Oct-2024 20:11     13K
libibumad-1.3.10.2-r3.apk                          25-Oct-2024 20:11     15K
libibumad-dev-1.3.10.2-r3.apk                      25-Oct-2024 20:11    7756
libibumad-doc-1.3.10.2-r3.apk                      25-Oct-2024 20:11     23K
libideviceactivation-1.1.1-r5.apk                  30-Oct-2024 22:44     18K
libideviceactivation-dev-1.1.1-r5.apk              30-Oct-2024 22:44    3388
libideviceactivation-doc-1.1.1-r5.apk              30-Oct-2024 22:44    2228
libigraph-0.10.15-r0.apk                           15-Nov-2024 16:15      1M
libigraph-dev-0.10.15-r0.apk                       15-Nov-2024 16:15     90K
libiio-0.25-r2.apk                                 25-Oct-2024 20:11     53K
libiio-dev-0.25-r2.apk                             25-Oct-2024 20:11     13K
libiio-doc-0.25-r2.apk                             25-Oct-2024 20:11     18K
libiio-pyc-0.25-r2.apk                             25-Oct-2024 20:11     21K
libiio-tools-0.25-r2.apk                           25-Oct-2024 20:11     74K
libiml-1.0.5-r3.apk                                25-Oct-2024 20:11     81K
libiml-dev-1.0.5-r3.apk                            25-Oct-2024 20:11    4039
libiml-static-1.0.5-r3.apk                         25-Oct-2024 20:11     82K
libirecovery-1.2.1-r0.apk                          30-Oct-2024 22:44     23K
libirecovery-dev-1.2.1-r0.apk                      30-Oct-2024 22:44    4181
libirecovery-progs-1.2.1-r0.apk                    30-Oct-2024 22:44    8647
libiscsi-1.19.0-r2.apk                             25-Oct-2024 20:11     60K
libiscsi-dev-1.19.0-r2.apk                         25-Oct-2024 20:11     20K
libiscsi-doc-1.19.0-r2.apk                         25-Oct-2024 20:11    9503
libiscsi-static-1.19.0-r2.apk                      25-Oct-2024 20:11     71K
libiscsi-utils-1.19.0-r2.apk                       25-Oct-2024 20:11     88K
libjodycode-3.1.1-r0.apk                           25-Oct-2024 20:11    7961
libjodycode-dev-3.1.1-r0.apk                       25-Oct-2024 20:11    4309
libjodycode-doc-3.1.1-r0.apk                       25-Oct-2024 20:11    3753
liblastfm-qt-1.1.10_git20190823-r3.apk             25-Oct-2024 20:11    176K
liblastfm-qt-dev-1.1.10_git20190823-r3.apk         25-Oct-2024 20:11     20K
libm4ri-20240729-r2.apk                            15-Jan-2025 18:23    157K
libm4ri-dev-20240729-r2.apk                        15-Jan-2025 18:23     32K
libm4ri-static-20240729-r2.apk                     15-Jan-2025 18:23    176K
libm4rie-20200125-r5.apk                           15-Jan-2025 18:23    186K
libm4rie-dev-20200125-r5.apk                       15-Jan-2025 18:23     24K
libm4rie-static-20200125-r5.apk                    15-Jan-2025 18:23    249K
libmdbx-0.11.8-r0.apk                              25-Oct-2024 20:11    899K
libmdbx-dbg-0.11.8-r0.apk                          25-Oct-2024 20:11      3M
libmdbx-dev-0.11.8-r0.apk                          25-Oct-2024 20:11     93K
libmdbx-doc-0.11.8-r0.apk                          25-Oct-2024 20:11    9065
libmdf-1.0.29-r0.apk                               25-Oct-2024 20:11     38K
libmdf-dev-1.0.29-r0.apk                           25-Oct-2024 20:11     14K
libmedc-4.1.1-r4.apk                               25-Oct-2024 20:11    556K
libmedc-dev-4.1.1-r4.apk                           25-Oct-2024 20:11     38K
libmedc-doc-4.1.1-r4.apk                           25-Oct-2024 20:11     41M
libmedc-python-4.1.1-r4.apk                        25-Oct-2024 20:11      2M
libmedc-python-pyc-4.1.1-r4.apk                    25-Oct-2024 20:11    475K
libmhash-0.9.9.9-r3.apk                            25-Oct-2024 20:11     98K
libmhash-dev-0.9.9.9-r3.apk                        25-Oct-2024 20:11    110K
libmhash-doc-0.9.9.9-r3.apk                        25-Oct-2024 20:11    8347
libmpfi-1.5.4-r2.apk                               25-Oct-2024 20:11     40K
libmpfi-dev-1.5.4-r2.apk                           25-Oct-2024 20:11    5513
libmpfi-doc-1.5.4-r2.apk                           25-Oct-2024 20:11     19K
libmpfi-static-1.5.4-r2.apk                        25-Oct-2024 20:11     55K
libmrss-0.19.2-r1.apk                              25-Oct-2024 20:11     22K
libmrss-dev-0.19.2-r1.apk                          25-Oct-2024 20:11     33K
libmustache-0.5.0-r1.apk                           25-Oct-2024 20:11     89K
libmygpo-qt-1.1.0-r2.apk                           25-Oct-2024 20:11     92K
libmygpo-qt-dev-1.1.0-r2.apk                       25-Oct-2024 20:11     12K
libmysofa-1.3.2-r0.apk                             25-Oct-2024 20:11     28K
libmysofa-dev-1.3.2-r0.apk                         25-Oct-2024 20:11    7184
libmysofa-tools-1.3.2-r0.apk                       25-Oct-2024 20:11      1M
libnbcompat-1.0.2-r0.apk                           25-Oct-2024 20:11     34K
libnbcompat-dev-1.0.2-r0.apk                       25-Oct-2024 20:11     67K
libnest2d-0.4-r7.apk                               06-Feb-2025 04:49    1244
libnest2d-dev-0.4-r7.apk                           06-Feb-2025 04:49     70K
libnfc-1.8.0-r1.apk                                25-Oct-2024 20:11     55K
libnfc-dev-1.8.0-r1.apk                            25-Oct-2024 20:11    8077
libnfc-doc-1.8.0-r1.apk                            25-Oct-2024 20:11     22K
libnfc-tools-1.8.0-r1.apk                          25-Oct-2024 20:11     58K
libnfcdef-1.0.1-r0.apk                             13-Apr-2025 22:18     14K
libnfcdef-dev-1.0.1-r0.apk                         13-Apr-2025 22:18    5868
libnih-1.0.3-r7.apk                                25-Oct-2024 20:11    108K
libnih-dev-1.0.3-r7.apk                            25-Oct-2024 20:11    110K
libnih-doc-1.0.3-r7.apk                            25-Oct-2024 20:11    2770
libntl-11.5.1-r4.apk                               25-Oct-2024 20:11      1M
libntl-dev-11.5.1-r4.apk                           25-Oct-2024 20:11    159K
libntl-doc-11.5.1-r4.apk                           25-Oct-2024 20:11    374K
libntl-static-11.5.1-r4.apk                        25-Oct-2024 20:11      2M
libnxml-0.18.3-r0.apk                              25-Oct-2024 20:11     22K
libnxml-dev-0.18.3-r0.apk                          25-Oct-2024 20:11     29K
libofx-0.10.9-r1.apk                               25-Oct-2024 20:11     68K
libofx-dev-0.10.9-r1.apk                           25-Oct-2024 20:11     20K
libofx-tools-0.10.9-r1.apk                         25-Oct-2024 20:11    106K
liboggz-1.1.1-r2.apk                               25-Oct-2024 20:11    101K
liboggz-dev-1.1.1-r2.apk                           25-Oct-2024 20:11    162K
liboggz-doc-1.1.1-r2.apk                           25-Oct-2024 20:11    134K
libopensles-standalone-0_git20240221-r0.apk        14-Apr-2025 17:11     37K
libopensles-standalone-dbg-0_git20240221-r0.apk    14-Apr-2025 17:11    225K
libopensles-standalone-dev-0_git20240221-r0.apk    14-Apr-2025 17:11    1619
libppl-1.2-r1.apk                                  25-Oct-2024 20:11    547K
libppl_c-1.2-r1.apk                                25-Oct-2024 20:11      2M
libqd-2.3.24-r0.apk                                25-Oct-2024 20:11    177K
libqd-dev-2.3.24-r0.apk                            25-Oct-2024 20:11     58K
libqd-doc-2.3.24-r0.apk                            25-Oct-2024 20:11    182K
libqd-static-2.3.24-r0.apk                         25-Oct-2024 20:11    251K
libqofono-0.124-r0.apk                             10-Jan-2025 12:38    1245
libqofono-dev-0.124-r0.apk                         10-Jan-2025 12:38     42K
libqofono-qt5-0.124-r0.apk                         10-Jan-2025 12:38    302K
libqofono-qt6-0.124-r0.apk                         10-Jan-2025 12:38    446K
libqtdbusmock-0.9.1-r2.apk                         17-Feb-2025 09:34     74K
libqtdbustest-0.3.3-r1.apk                         17-Feb-2025 09:34     34K
libraqm-0.10.2-r0.apk                              25-Oct-2024 20:11     11K
libraqm-dev-0.10.2-r0.apk                          25-Oct-2024 20:11    4641
libraqm-doc-0.10.2-r0.apk                          25-Oct-2024 20:11     21K
libre-3.20.0-r0.apk                                25-Feb-2025 12:18    298K
libre-dev-3.20.0-r0.apk                            25-Feb-2025 12:18    467K
libreoffice-voikko-5.0_git20200127-r0.apk          25-Oct-2024 20:11     45K
librespot-0.6.0-r0.apk                             01-Nov-2024 21:59      3M
librespot-openrc-0.6.0-r0.apk                      01-Nov-2024 21:59    1854
libresprite-1.2-r0.apk                             13-Apr-2025 22:16     15M
libresprite-doc-1.2-r0.apk                         13-Apr-2025 22:16     15K
libretro-atari800-0_git20240924-r0.apk             25-Oct-2024 20:11    286K
libretro-beetle-pce-fast-0_git20220205-r0.apk      25-Oct-2024 20:11    363K
libretro-beetle-pcfx-0_git20220409-r0.apk          25-Oct-2024 20:11    326K
libretro-beetle-saturn-0_git20220417-r0.apk        25-Oct-2024 20:11      2M
libretro-beetle-supergrafx-0_git20220218-r0.apk    25-Oct-2024 20:11    376K
libretro-bluemsx-0_git20240808-r0.apk              25-Oct-2024 20:11    685K
libretro-cannonball-0_git20220309-r6.apk           25-Oct-2024 20:11    248K
libretro-cap32-0_git20220419-r0.apk                25-Oct-2024 20:11    303K
libretro-crocods-0_git20210314-r1.apk              25-Oct-2024 20:11    281K
libretro-daphne-0_git20210108-r2.apk               25-Oct-2024 20:11    617K
libretro-dinothawr-0_git20220401-r0.apk            25-Oct-2024 20:11    145K
libretro-fbneo-0_git20220416-r0.apk                25-Oct-2024 20:11     10M
libretro-freeintv-0_git20220319-r0.apk             25-Oct-2024 20:11     37K
libretro-frodo-0_git20221221-r0.apk                25-Oct-2024 20:11    172K
libretro-fuse-0_git20220417-r0.apk                 25-Oct-2024 20:11    903K
libretro-genesis-plus-gx-0_git20230503-r0.apk      25-Oct-2024 20:11    717K
libretro-gme-0_git20240628-r0.apk                  25-Oct-2024 20:11    198K
libretro-gong-0_git20220319-r0.apk                 25-Oct-2024 20:11    8218
libretro-gw-0_git20220410-r0.apk                   25-Oct-2024 20:11    193K
libretro-mame2000-0_git20240701-r0.apk             25-Oct-2024 20:11      3M
libretro-mame2003-0_git20240904-r0.apk             25-Oct-2024 20:11      7M
libretro-mu-0_git20220317-r0.apk                   25-Oct-2024 20:11    189K
libretro-neocd-0_git20220325-r1.apk                25-Oct-2024 20:11    520K
libretro-nxengine-0_git20220301-r0.apk             25-Oct-2024 20:11    308K
libretro-openlara-0_git20210121-r0.apk             25-Oct-2024 20:11    501K
libretro-opera-0_git20211214-r0.apk                25-Oct-2024 20:11    191K
libretro-parallel-n64-0_git20220406-r0.apk         25-Oct-2024 20:11    827K
libretro-pcsx-rearmed-0_git20220409-r0.apk         25-Oct-2024 20:11    546K
libretro-picodrive-0_git20220405-r0.apk            25-Oct-2024 20:11    500K
libretro-pocketcdg-0_git20220327-r0.apk            25-Oct-2024 20:11     89K
libretro-ppsspp-0_git20210516-r15.apk              11-Apr-2025 18:13      2M
libretro-scummvm-0_git20210325-r0.apk              25-Oct-2024 20:11     21M
libretro-snes9x-0_git20240819-r0.apk               25-Oct-2024 20:11    613K
libretro-theodore-3.1-r0.apk                       25-Oct-2024 20:11    869K
libretro-tyrquake-0_git20220409-r0.apk             25-Oct-2024 20:11    409K
libretro-xrick-0_git20220331-r0.apk                25-Oct-2024 20:11    124K
libretro-yabause-0_git20210411-r0.apk              25-Oct-2024 20:11    506K
libsbsms-2.3.0-r0.apk                              25-Oct-2024 20:11    106K
libsbsms-dev-2.3.0-r0.apk                          25-Oct-2024 20:11    123K
libsds-2.0.0-r1.apk                                25-Oct-2024 20:11     10K
libsds-dev-2.0.0-r1.apk                            25-Oct-2024 20:11    3866
libsemanage-3.6-r1.apk                             25-Oct-2024 20:11     96K
libsemanage-dev-3.6-r1.apk                         25-Oct-2024 20:11    141K
libsemanage-doc-3.6-r1.apk                         25-Oct-2024 20:11     23K
libsemigroups-2.7.3-r1.apk                         14-Dec-2024 19:46    757K
libsemigroups-dev-2.7.3-r1.apk                     14-Dec-2024 19:46    335K
libsemigroups-static-2.7.3-r1.apk                  14-Dec-2024 19:46      2M
libserialport-0.1.1-r1.apk                         25-Oct-2024 20:11     22K
libserialport-dev-0.1.1-r1.apk                     25-Oct-2024 20:11     37K
libsigrok-0.5.2-r3.apk                             25-Oct-2024 20:11    506K
libsigrok-dev-0.5.2-r3.apk                         25-Oct-2024 20:11     31K
libsigrokdecode-0.5.3-r4.apk                       25-Oct-2024 20:11    337K
libsigrokdecode-dev-0.5.3-r4.apk                   25-Oct-2024 20:11     38K
libsimpleble-0.8.1-r0.apk                          25-Feb-2025 22:20    191K
libsimpleble-c-0.8.1-r0.apk                        25-Feb-2025 22:20     16K
libsimplebluez-0.8.1-r0.apk                        25-Feb-2025 22:20    138K
libsirocco-2.1.0-r2.apk                            25-Oct-2024 20:11     70K
libsirocco-dev-2.1.0-r2.apk                        25-Oct-2024 20:11    1945
libspatialindex-0_git20210205-r1.apk               25-Oct-2024 20:11    334K
libspatialindex-dev-0_git20210205-r1.apk           25-Oct-2024 20:11     22K
libstirshaken-0_git20240208-r3.apk                 23-Apr-2025 21:27     53K
libstirshaken-dev-0_git20240208-r3.apk             23-Apr-2025 21:27     77K
libstirshaken-tools-0_git20240208-r3.apk           23-Apr-2025 21:27    159K
libsymmetrica-3.0.1-r2.apk                         25-Oct-2024 20:11      4M
libsymmetrica-dev-3.0.1-r2.apk                     25-Oct-2024 20:11     32K
libsymmetrica-static-3.0.1-r2.apk                  25-Oct-2024 20:11      6M
libtins-4.5-r1.apk                                 25-Oct-2024 20:11    357K
libtins-dev-4.5-r1.apk                             25-Oct-2024 20:11    141K
libtins-doc-4.5-r1.apk                             25-Oct-2024 20:11    2373
libtinycbor-0.6.0-r1.apk                           25-Oct-2024 20:11     20K
libtommath-1.2.1-r0.apk                            25-Oct-2024 20:11     43K
libtommath-dev-1.2.1-r0.apk                        25-Oct-2024 20:11     69K
libtsm-4.0.2-r1.apk                                25-Oct-2024 20:11     26K
libtsm-dev-4.0.2-r1.apk                            25-Oct-2024 20:11    9753
libucl-0.9.0-r0.apk                                25-Oct-2024 20:11     56K
libucl-dev-0.9.0-r0.apk                            25-Oct-2024 20:11     82K
libucl-doc-0.9.0-r0.apk                            25-Oct-2024 20:11    9077
libuecc-7-r4.apk                                   03-Mar-2025 16:06     10K
libuecc-dev-7-r4.apk                               03-Mar-2025 16:06    4747
libuninameslist-20230916-r0.apk                    25-Oct-2024 20:11    368K
libuninameslist-dev-20230916-r0.apk                25-Oct-2024 20:11    3553
libuninameslist-doc-20230916-r0.apk                25-Oct-2024 20:11    2094
libupstart-2.0.3-r5.apk                            25-Oct-2024 20:11     54K
libvdpau-va-gl-0.4.2-r0.apk                        25-Oct-2024 20:11     62K
libvisio2svg-0.5.5-r3.apk                          25-Oct-2024 20:11     15K
libvisio2svg-dev-0.5.5-r3.apk                      25-Oct-2024 20:11    2989
libvisio2svg-utils-0.5.5-r3.apk                    25-Oct-2024 20:11    122K
libvmaf-3.0.0-r0.apk                               25-Oct-2024 20:11    371K
libvmaf-dev-3.0.0-r0.apk                           25-Oct-2024 20:11    219K
libvoikko-4.3.2-r1.apk                             25-Oct-2024 20:11    129K
libvoikko-dev-4.3.2-r1.apk                         25-Oct-2024 20:11     10K
libvoikko-doc-4.3.2-r1.apk                         25-Oct-2024 20:11    5860
libwbxml-0.11.8-r0.apk                             25-Oct-2024 20:11     73K
libwbxml-dev-0.11.8-r0.apk                         25-Oct-2024 20:11    9173
libwbxml-doc-0.11.8-r0.apk                         25-Oct-2024 20:11     28K
libwhich-1.2.0-r0.apk                              25-Oct-2024 20:11    4618
libwmiclient-1.3.16-r5.apk                         25-Oct-2024 20:11    1489
libwmiclient-dev-1.3.16-r5.apk                     25-Oct-2024 20:11    1736
libxml++-5.4.0-r0.apk                              13-Feb-2025 07:47     70K
libxml++-dev-5.4.0-r0.apk                          13-Feb-2025 07:47     29K
libxo-1.7.5-r0.apk                                 12-Jan-2025 22:45    179K
libxo-dev-1.7.5-r0.apk                             12-Jan-2025 22:45     76K
libxo-doc-1.7.5-r0.apk                             12-Jan-2025 22:45     63K
libzn_poly-0.9.2-r2.apk                            25-Oct-2024 20:11     51K
libzn_poly-dev-0.9.2-r2.apk                        25-Oct-2024 20:11    8364
libzn_poly-static-0.9.2-r2.apk                     25-Oct-2024 20:11     53K
libzrtpcpp-4.7.0-r0.apk                            04-Jan-2025 21:55    167K
libzrtpcpp-dev-4.7.0-r0.apk                        04-Jan-2025 21:55     38K
libzvbi-0.2.44-r0.apk                              11-Mar-2025 20:28    217K
libzvbi-dev-0.2.44-r0.apk                          11-Mar-2025 20:28     14K
libzvbi-static-0.2.44-r0.apk                       11-Mar-2025 20:28    274K
licenseheaders-0.8.8-r4.apk                        25-Oct-2024 20:11     18K
licenseheaders-pyc-0.8.8-r4.apk                    25-Oct-2024 20:11     18K
limnoria-20240828-r0.apk                           25-Oct-2024 20:11      1M
limnoria-doc-20240828-r0.apk                       25-Oct-2024 20:11    8601
limnoria-pyc-20240828-r0.apk                       25-Oct-2024 20:11      1M
linkquisition-1.6.1-r4.apk                         12-Apr-2025 03:09     13M
linphone-5.3.38-r0.apk                             25-Oct-2024 20:11      9M
linphone-dev-5.3.38-r0.apk                         25-Oct-2024 20:11    250K
linphone-libs-5.3.38-r0.apk                        25-Oct-2024 20:11      3M
linux-apfs-rw-src-0.3.8-r0.apk                     25-Oct-2024 20:11    197K
linux-timemachine-1.3.2-r0.apk                     25-Oct-2024 20:11    5176
linuxptp-4.4-r0.apk                                18-Nov-2024 18:00    1245
linuxptp-doc-4.4-r0.apk                            18-Nov-2024 18:00     38K
linuxptp-hwstamp_ctl-4.4-r0.apk                    18-Nov-2024 18:00    4558
linuxptp-nsm-4.4-r0.apk                            18-Nov-2024 18:00     32K
linuxptp-phc2sys-4.4-r0.apk                        18-Nov-2024 18:00     39K
linuxptp-phc_ctl-4.4-r0.apk                        18-Nov-2024 18:00     11K
linuxptp-pmc-4.4-r0.apk                            18-Nov-2024 18:00     35K
linuxptp-ptp4l-4.4-r0.apk                          18-Nov-2024 18:00     83K
linuxptp-timemaster-4.4-r0.apk                     18-Nov-2024 18:00     16K
linuxptp-ts2phc-4.4-r0.apk                         18-Nov-2024 18:00     38K
linuxptp-tz2alt-4.4-r0.apk                         18-Nov-2024 18:00     20K
liquibase-4.9.1-r0.apk                             25-Oct-2024 20:11     32M
liquibase-doc-4.9.1-r0.apk                         25-Oct-2024 20:11     57K
listenbrainz-mpd-2.3.8-r0.apk                      25-Oct-2024 20:11      1M
listenbrainz-mpd-bash-completion-2.3.8-r0.apk      25-Oct-2024 20:11    2158
listenbrainz-mpd-doc-2.3.8-r0.apk                  25-Oct-2024 20:11     14K
listenbrainz-mpd-fish-completion-2.3.8-r0.apk      25-Oct-2024 20:11    1854
listenbrainz-mpd-zsh-completion-2.3.8-r0.apk       25-Oct-2024 20:11    2107
litehtml-0.9-r2.apk                                17-Feb-2025 09:34    338K
litehtml-dev-0.9-r2.apk                            17-Feb-2025 09:34     42K
litehtml-static-0.9-r2.apk                         17-Feb-2025 09:34    511K
litterbox-1.9-r1.apk                               25-Oct-2024 20:11     36K
litterbox-doc-1.9-r1.apk                           25-Oct-2024 20:11    7423
lizardfs-3.13.0-r15.apk                            17-Feb-2025 09:34    149K
lizardfs-bash-completion-3.13.0-r15.apk            17-Feb-2025 09:34    1872
lizardfs-cgi-3.13.0-r15.apk                        17-Feb-2025 09:34     31K
lizardfs-cgiserv-3.13.0-r15.apk                    17-Feb-2025 09:34    7486
lizardfs-cgiserv-openrc-3.13.0-r15.apk             17-Feb-2025 09:34    1965
lizardfs-chunkserver-3.13.0-r15.apk                17-Feb-2025 09:34    382K
lizardfs-chunkserver-openrc-3.13.0-r15.apk         17-Feb-2025 09:34    1639
lizardfs-client-3.13.0-r15.apk                     17-Feb-2025 09:34      1M
lizardfs-doc-3.13.0-r15.apk                        17-Feb-2025 09:34     11K
lizardfs-master-3.13.0-r15.apk                     17-Feb-2025 09:34    996K
lizardfs-master-openrc-3.13.0-r15.apk              17-Feb-2025 09:34    1629
lizardfs-metalogger-3.13.0-r15.apk                 17-Feb-2025 09:34    169K
lizardfs-metalogger-openrc-3.13.0-r15.apk          17-Feb-2025 09:34    1630
lkrg-0.9.6-r1.apk                                  09-Jan-2025 11:44    105K
lkrg-doc-0.9.6-r1.apk                              09-Jan-2025 11:44     22K
llmnrd-0.7-r1.apk                                  25-Oct-2024 20:11     17K
llmnrd-doc-0.7-r1.apk                              25-Oct-2024 20:11    3110
llmnrd-openrc-0.7-r1.apk                           25-Oct-2024 20:11    1937
lockrun-1.1.3-r1.apk                               25-Oct-2024 20:11    5447
log4cpp-1.1.4-r1.apk                               25-Oct-2024 20:11     76K
log4cpp-dev-1.1.4-r1.apk                           25-Oct-2024 20:11     39K
log4cxx-1.1.0-r3.apk                               17-Feb-2025 09:34    556K
log4cxx-dev-1.1.0-r3.apk                           17-Feb-2025 09:34    135K
logc-0.5.0-r0.apk                                  25-Oct-2024 20:11    8382
logc-argp-0.5.0-r0.apk                             25-Oct-2024 20:11     17K
logc-config-0.5.0-r0.apk                           25-Oct-2024 20:11    5403
logc-czmq-0.1.0-r0.apk                             25-Oct-2024 20:11    4226
logc-dev-0.5.0-r0.apk                              25-Oct-2024 20:11    9112
logc-libevent-0.1.0-r0.apk                         25-Oct-2024 20:11    3580
logc-libs-0.1.0-r0.apk                             25-Oct-2024 20:11    1487
logc-libs-dev-0.1.0-r0.apk                         25-Oct-2024 20:11    5794
logtop-0.7-r0.apk                                  25-Oct-2024 20:11     15K
logtop-doc-0.7-r0.apk                              25-Oct-2024 20:11    2883
logtop-libs-0.7-r0.apk                             25-Oct-2024 20:11     16K
logwatch-7.10-r1.apk                               25-Oct-2024 20:11    483K
logwatch-doc-7.10-r1.apk                           25-Oct-2024 20:11     38K
lol-html-1.1.1-r1.apk                              25-Oct-2024 20:11    444K
lol-html-dev-1.1.1-r1.apk                          25-Oct-2024 20:11    6670
lolcat-1.4-r0.apk                                  25-Oct-2024 20:11     10K
lomiri-0.4.1-r0.apk                                20-Apr-2025 05:16      4M
lomiri-action-api-1.2.0-r0.apk                     11-Apr-2025 18:13     84K
lomiri-action-api-dev-1.2.0-r0.apk                 11-Apr-2025 18:13    5080
lomiri-api-0.2.2-r1.apk                            17-Feb-2025 09:34     36K
lomiri-api-dev-0.2.2-r1.apk                        17-Feb-2025 09:34     32K
lomiri-app-launch-0.1.11-r1.apk                    17-Feb-2025 09:34    353K
lomiri-app-launch-dev-0.1.11-r1.apk                17-Feb-2025 09:34     20K
lomiri-calculator-app-4.0.2-r1.apk                 13-Mar-2025 06:06    374K
lomiri-calculator-app-lang-4.0.2-r1.apk            13-Mar-2025 06:06     34K
lomiri-clock-app-4.1.0-r0.apk                      02-Dec-2024 11:46    238K
lomiri-clock-app-lang-4.1.0-r0.apk                 02-Dec-2024 11:46    449K
lomiri-content-hub-2.1.0-r0.apk                    13-Apr-2025 22:06    300K
lomiri-content-hub-dev-2.1.0-r0.apk                13-Apr-2025 22:06     11K
lomiri-content-hub-doc-2.1.0-r0.apk                13-Apr-2025 22:06      1M
lomiri-content-hub-lang-2.1.0-r0.apk               13-Apr-2025 22:06     47K
lomiri-docviewer-app-3.0.4-r2.apk                  13-Mar-2025 06:06    234K
lomiri-docviewer-app-doc-3.0.4-r2.apk              13-Mar-2025 06:06    2008
lomiri-docviewer-app-lang-3.0.4-r2.apk             13-Mar-2025 06:06    116K
lomiri-download-manager-0.2.1-r0.apk               13-Apr-2025 23:14    641K
lomiri-download-manager-dev-0.2.1-r0.apk           13-Apr-2025 23:14     17K
lomiri-download-manager-doc-0.2.1-r0.apk           13-Apr-2025 23:14      3M
lomiri-download-manager-lang-0.2.1-r0.apk          13-Apr-2025 23:14     30K
lomiri-filemanager-app-1.0.4-r1.apk                13-Mar-2025 06:06    350K
lomiri-filemanager-app-lang-1.0.4-r1.apk           13-Mar-2025 06:06    171K
lomiri-gallery-app-3.0.2-r1.apk                    13-Mar-2025 06:06      4M
lomiri-gallery-app-lang-3.0.2-r1.apk               13-Mar-2025 06:06    106K
lomiri-history-service-0.6-r5.apk                  23-Apr-2025 22:21    386K
lomiri-history-service-dev-0.6-r5.apk              23-Apr-2025 22:21     11K
lomiri-indicator-location-0_git20231227-r2.apk     13-Mar-2025 06:06     30K
lomiri-indicator-location-lang-0_git20231227-r2..> 13-Mar-2025 06:06     29K
lomiri-indicator-network-1.1.0-r1.apk              17-Feb-2025 09:34    659K
lomiri-indicator-network-dev-1.1.0-r1.apk          17-Feb-2025 09:34    9699
lomiri-indicator-network-doc-1.1.0-r1.apk          17-Feb-2025 09:34    2046
lomiri-indicator-network-lang-1.1.0-r1.apk         17-Feb-2025 09:34    190K
lomiri-lang-0.4.1-r0.apk                           20-Apr-2025 05:16    269K
lomiri-libusermetrics-1.3.3-r1.apk                 17-Feb-2025 09:34    181K
lomiri-libusermetrics-dev-1.3.3-r1.apk             17-Feb-2025 09:34    7980
lomiri-libusermetrics-doc-1.3.3-r1.apk             17-Feb-2025 09:34    228K
lomiri-libusermetrics-lang-1.3.3-r1.apk            17-Feb-2025 09:34     43K
lomiri-location-service-3.3.0-r2.apk               02-Mar-2025 08:32      2M
lomiri-location-service-dev-3.3.0-r2.apk           02-Mar-2025 08:32     30K
lomiri-location-service-doc-3.3.0-r2.apk           02-Mar-2025 08:32    2882
lomiri-location-service-lang-3.3.0-r2.apk          02-Mar-2025 08:32     26K
lomiri-notifications-1.3.1-r0.apk                  10-Jan-2025 10:15    102K
lomiri-schemas-0.1.7-r0.apk                        26-Jan-2025 00:12     11K
lomiri-settings-components-1.1.2-r0.apk            10-Jan-2025 10:15    222K
lomiri-settings-components-lang-1.1.2-r0.apk       10-Jan-2025 10:15     98K
lomiri-sounds-22.02-r1.apk                         13-Mar-2025 06:06     18M
lomiri-system-settings-1.3.0-r2.apk                29-Mar-2025 15:58      1M
lomiri-system-settings-lang-1.3.0-r2.apk           29-Mar-2025 15:58    826K
lomiri-telephony-service-0.6.0-r3.apk              23-Apr-2025 22:21      1M
lomiri-telephony-service-lang-0.6.0-r3.apk         23-Apr-2025 22:21    107K
lomiri-terminal-app-2.0.4-r0.apk                   09-Mar-2025 21:37     65K
lomiri-terminal-app-doc-2.0.4-r0.apk               09-Mar-2025 21:37    2667
lomiri-terminal-app-lang-2.0.4-r0.apk              09-Mar-2025 21:37     90K
lomiri-thumbnailer-3.0.4-r2.apk                    17-Feb-2025 09:34    242K
lomiri-thumbnailer-dev-3.0.4-r2.apk                17-Feb-2025 09:34    5168
lomiri-thumbnailer-doc-3.0.4-r2.apk                17-Feb-2025 09:34    1510
lomiri-trust-store-2.0.2-r8.apk                    27-Feb-2025 21:26      1M
lomiri-trust-store-dev-2.0.2-r8.apk                27-Feb-2025 21:26    9285
lomiri-trust-store-lang-2.0.2-r8.apk               27-Feb-2025 21:26     28K
lomiri-ui-extras-0.7.0-r0.apk                      11-Apr-2025 18:13    276K
lomiri-ui-extras-lang-0.7.0-r0.apk                 11-Apr-2025 18:13     52K
lomiri-ui-toolkit-1.3.5110-r0.apk                  10-Jan-2025 10:15      1M
lomiri-ui-toolkit-dev-1.3.5110-r0.apk              10-Jan-2025 10:15    168K
lomiri-ui-toolkit-lang-1.3.5110-r0.apk             10-Jan-2025 10:15     96K
lomiri-url-dispatcher-0.1.3-r3.apk                 17-Feb-2025 09:34     38K
lomiri-url-dispatcher-dev-0.1.3-r3.apk             17-Feb-2025 09:34    3328
lomiri-url-dispatcher-lang-0.1.3-r3.apk            17-Feb-2025 09:34     18K
lomiri-weather-app-6.0.2-r0.apk                    11-Mar-2025 12:02    304K
lomiri-weather-app-lang-6.0.2-r0.apk               11-Mar-2025 12:02    214K
lotide-0.15.0-r0.apk                               25-Oct-2024 20:11      3M
lotide-openrc-0.15.0-r0.apk                        25-Oct-2024 20:11    3195
lottieconverter-0.2_git20231219-r0.apk             25-Oct-2024 20:11     13K
lottieconverter-doc-0.2_git20231219-r0.apk         25-Oct-2024 20:11    2478
lout-3.42.2-r0.apk                                 25-Oct-2024 20:11      1M
lout-doc-3.42.2-r0.apk                             25-Oct-2024 20:11    453K
lowjs-1.6.2-r2.apk                                 25-Oct-2024 20:11      1M
lowjs-doc-1.6.2-r2.apk                             25-Oct-2024 20:11    3039
lrcalc-2.1-r1.apk                                  25-Oct-2024 20:11     11K
lrcalc-dev-2.1-r1.apk                              25-Oct-2024 20:11     11K
lrcalc-libs-2.1-r1.apk                             25-Oct-2024 20:11     25K
lsdvd-0.17-r0.apk                                  25-Oct-2024 20:11     13K
lsdvd-doc-0.17-r0.apk                              25-Oct-2024 20:11    2526
lshell-0.9.18-r11.apk                              25-Oct-2024 20:11     36K
lshell-doc-0.9.18-r11.apk                          25-Oct-2024 20:11     25K
lshell-pyc-0.9.18-r11.apk                          25-Oct-2024 20:11     35K
lsix-1.8.2-r0.apk                                  25-Oct-2024 20:11    6669
lsmash-2.14.5-r2.apk                               25-Oct-2024 20:11    292K
lsmash-dev-2.14.5-r2.apk                           25-Oct-2024 20:11    359K
lua-editorconfig-0.3.0-r0.apk                      25-Oct-2024 20:11    1224
lua-fn-0.1.0-r0.apk                                25-Oct-2024 20:11    3459
lua-inet-0.2.0-r1.apk                              25-Oct-2024 20:11    9348
lua-lanes-3.16.0-r1.apk                            25-Oct-2024 20:11    1481
lua-lcurses-9.0.0-r0.apk                           25-Oct-2024 20:11    1210
lua-libmodbus-0.6.1-r0.apk                         25-Oct-2024 20:11    1209
lua-libmodbus-doc-0.6.1-r0.apk                     25-Oct-2024 20:11     19K
lua-linenoise-0.9-r1.apk                           25-Oct-2024 20:11    1199
lua-luastatic-0.0.12-r1.apk                        25-Oct-2024 20:11    1497
lua-lupa-1.0-r0.apk                                25-Oct-2024 20:11     20K
lua-lut-1.2.1-r0.apk                               25-Oct-2024 20:11     89K
lua-psl-0.3-r0.apk                                 25-Oct-2024 20:11    1154
lua-resty-redis-0.29-r0.apk                        25-Oct-2024 20:11    5451
lua-resty-upload-0.11-r0.apk                       25-Oct-2024 20:11    3702
lua-xml-1.1.3-r2.apk                               25-Oct-2024 20:11    1466
lua5.1-lanes-3.16.0-r1.apk                         25-Oct-2024 20:11     57K
lua5.1-lcurses-9.0.0-r0.apk                        25-Oct-2024 20:11     29K
lua5.1-libguestfs-1.52.0-r1.apk                    25-Oct-2024 20:11     90K
lua5.1-libmodbus-0.6.1-r0.apk                      25-Oct-2024 20:11     11K
lua5.1-linenoise-0.9-r1.apk                        25-Oct-2024 20:11     18K
lua5.1-luacov-0.15.0-r0.apk                        25-Oct-2024 20:11     23K
lua5.1-luacov-html-1.0.0-r1.apk                    25-Oct-2024 20:11    413K
lua5.1-luastatic-0.0.12-r1.apk                     25-Oct-2024 20:11     86K
lua5.1-psl-0.3-r0.apk                              25-Oct-2024 20:11    6528
lua5.1-xml-1.1.3-r2.apk                            25-Oct-2024 20:11     24K
lua5.2-editorconfig-0.3.0-r0.apk                   25-Oct-2024 20:11    4797
lua5.2-lanes-3.16.0-r1.apk                         25-Oct-2024 20:11     57K
lua5.2-libmodbus-0.6.1-r0.apk                      25-Oct-2024 20:11     11K
lua5.2-linenoise-0.9-r1.apk                        25-Oct-2024 20:11     18K
lua5.2-luacov-0.15.0-r0.apk                        25-Oct-2024 20:11     23K
lua5.2-luacov-html-1.0.0-r1.apk                    25-Oct-2024 20:11    413K
lua5.2-luastatic-0.0.12-r1.apk                     25-Oct-2024 20:11    9311
lua5.2-psl-0.3-r0.apk                              25-Oct-2024 20:11    6424
lua5.2-xml-1.1.3-r2.apk                            25-Oct-2024 20:11     23K
lua5.3-apk3-3.0.0_rc4_git20250320-r0.apk           24-Mar-2025 11:33    6376
lua5.3-editorconfig-0.3.0-r0.apk                   25-Oct-2024 20:11    4849
lua5.3-lanes-3.16.0-r1.apk                         25-Oct-2024 20:11     58K
lua5.3-linenoise-0.9-r1.apk                        25-Oct-2024 20:11     18K
lua5.3-luacov-0.15.0-r0.apk                        25-Oct-2024 20:11     23K
lua5.3-luacov-html-1.0.0-r1.apk                    25-Oct-2024 20:11    413K
lua5.3-luastatic-0.0.12-r1.apk                     25-Oct-2024 20:11    9359
lua5.3-psl-0.3-r0.apk                              25-Oct-2024 20:11    6450
lua5.4-editorconfig-0.3.0-r0.apk                   25-Oct-2024 20:11    4845
lua5.4-lanes-3.16.0-r1.apk                         25-Oct-2024 20:11     57K
lua5.4-linenoise-0.9-r1.apk                        25-Oct-2024 20:11     18K
lua5.4-luacov-0.15.0-r0.apk                        25-Oct-2024 20:11     23K
lua5.4-luastatic-0.0.12-r1.apk                     25-Oct-2024 20:11    9426
luacov-0.15.0-r0.apk                               25-Oct-2024 20:11    1487
luacov-html-1.0.0-r1.apk                           25-Oct-2024 20:11    1242
luapak-0.1.0_beta5-r0.apk                          25-Oct-2024 20:11     35K
luksmeta-9-r0.apk                                  25-Oct-2024 20:11     14K
luksmeta-dev-9-r0.apk                              25-Oct-2024 20:11    3168
luksmeta-doc-9-r0.apk                              25-Oct-2024 20:11    5612
lumina-desktop-1.6.2-r0.apk                        25-Oct-2024 20:11    1264
lumina-desktop-archiver-1.6.2-r0.apk               25-Oct-2024 20:11    182K
lumina-desktop-core-1.6.2-r0.apk                   25-Oct-2024 20:11      9M
lumina-desktop-coreutils-1.6.2-r0.apk              25-Oct-2024 20:11    885K
lumina-desktop-doc-1.6.2-r0.apk                    25-Oct-2024 20:11     12K
lumina-desktop-fileinfo-1.6.2-r0.apk               25-Oct-2024 20:11    175K
lumina-desktop-fm-1.6.2-r0.apk                     25-Oct-2024 20:11    426K
lumina-desktop-mediaplayer-1.6.2-r0.apk            25-Oct-2024 20:11    216K
lumina-desktop-photo-1.6.2-r0.apk                  25-Oct-2024 20:11    138K
lumina-desktop-screenshot-1.6.2-r0.apk             25-Oct-2024 20:11    186K
lumina-desktop-sudo-1.6.2-r0.apk                   25-Oct-2024 20:11    107K
lumina-desktop-textedit-1.6.2-r0.apk               25-Oct-2024 20:11    211K
lumins-0.4.0-r2.apk                                25-Oct-2024 20:11    667K
lutgen-0.11.2-r0.apk                               25-Oct-2024 20:11      2M
lutgen-bash-completion-0.11.2-r0.apk               25-Oct-2024 20:11    1723
lutgen-doc-0.11.2-r0.apk                           25-Oct-2024 20:11    4286
lutgen-fish-completion-0.11.2-r0.apk               25-Oct-2024 20:11    1768
lutgen-zsh-completion-0.11.2-r0.apk                25-Oct-2024 20:11    1689
lxappearance-0.6.3-r3.apk                          25-Oct-2024 20:11     30K
lxappearance-dev-0.6.3-r3.apk                      25-Oct-2024 20:11    3315
lxappearance-doc-0.6.3-r3.apk                      25-Oct-2024 20:11    2673
lxappearance-lang-0.6.3-r3.apk                     25-Oct-2024 20:11     81K
lxd-5.0.3-r10.apk                                  12-Apr-2025 03:09     15M
lxd-bash-completion-5.0.3-r10.apk                  12-Apr-2025 03:09    5182
lxd-client-5.0.3-r10.apk                           12-Apr-2025 03:09      7M
lxd-feature-5.20-r10.apk                           12-Apr-2025 03:09     72M
lxd-feature-bash-completion-5.20-r10.apk           12-Apr-2025 03:09    5251
lxd-feature-doc-5.20-r10.apk                       12-Apr-2025 03:09    1713
lxd-feature-openrc-5.20-r10.apk                    12-Apr-2025 03:09    2480
lxd-feature-scripts-5.20-r10.apk                   12-Apr-2025 03:09    2188
lxd-openrc-5.0.3-r10.apk                           12-Apr-2025 03:09    2604
lxd-scripts-5.0.3-r10.apk                          12-Apr-2025 03:09     27M
lxd-vm-5.0.3-r10.apk                               12-Apr-2025 03:09    1369
lxqt-wayland-session-0.1.0-r0.apk                  11-Jan-2025 10:32    332K
lxqt-wayland-session-doc-0.1.0-r0.apk              11-Jan-2025 10:32     26K
lychee-0.18.1-r0.apk                               19-Mar-2025 13:07      3M
lychee-doc-0.18.1-r0.apk                           19-Mar-2025 13:07     12K
lynis-3.1.1-r0.apk                                 25-Oct-2024 20:11    271K
lynis-bash-completion-3.1.1-r0.apk                 25-Oct-2024 20:11    3053
lynis-doc-3.1.1-r0.apk                             25-Oct-2024 20:11     49K
lyrics-in-terminal-1.7.0-r0.apk                    03-Jan-2025 14:34     38K
lzfse-1.0-r0.apk                                   25-Oct-2024 20:11     20K
lzfse-dev-1.0-r0.apk                               25-Oct-2024 20:11    3502
m2r2-0.3.3-r3.apk                                  25-Oct-2024 20:11     13K
m2r2-pyc-0.3.3-r3.apk                              25-Oct-2024 20:11     16K
ma1sd-2.5.0-r3.apk                                 25-Oct-2024 20:11     38M
ma1sd-openrc-2.5.0-r3.apk                          25-Oct-2024 20:11    2002
maddy-0.8.1-r2.apk                                 12-Apr-2025 03:09     10M
maddy-doc-0.8.1-r2.apk                             12-Apr-2025 03:09    2448
maddy-openrc-0.8.1-r2.apk                          12-Apr-2025 03:09    2024
maddy-vim-0.8.1-r2.apk                             12-Apr-2025 03:09    3671
mage-1.13.0-r22.apk                                12-Apr-2025 03:09      2M
maildir2rss-0.0.7-r4.apk                           12-Apr-2025 03:09      4M
mailsec-check-0_git20210729-r25.apk                12-Apr-2025 03:09      3M
mailtutan-0.3.0-r0.apk                             25-Oct-2024 20:11      1M
mailutils-3.19-r0.apk                              24-Mar-2025 07:02    219K
mailutils-dev-3.19-r0.apk                          24-Mar-2025 07:02      3M
mailutils-doc-3.19-r0.apk                          24-Mar-2025 07:02    160K
mailutils-libs-3.19-r0.apk                         24-Mar-2025 07:02    538K
mailutils-mh-3.19-r0.apk                           24-Mar-2025 07:02      1M
mailutils-servers-3.19-r0.apk                      24-Mar-2025 07:02     80K
makeclapman-2.4.4-r4.apk                           12-Apr-2025 03:09      1M
makeclapman-doc-2.4.4-r4.apk                       12-Apr-2025 03:09    4246
makedumpfile-1.7.7-r0.apk                          22-Apr-2025 14:39    194K
makedumpfile-doc-1.7.7-r0.apk                      22-Apr-2025 14:39     24K
makedumpfile-openrc-1.7.7-r0.apk                   22-Apr-2025 14:39    3002
makeself-2.5.0-r0.apk                              25-Oct-2024 20:11     13K
mame-0.251-r0.apk                                  25-Oct-2024 20:11     94M
mame-arcade-0.251-r0.apk                           25-Oct-2024 20:11     65M
mame-common-0.251-r0.apk                           25-Oct-2024 20:11    2724
mame-data-0.251-r0.apk                             25-Oct-2024 20:11     19M
mame-doc-0.251-r0.apk                              25-Oct-2024 20:11     24K
mame-lang-0.251-r0.apk                             25-Oct-2024 20:11      1M
mame-mess-0.251-r0.apk                             25-Oct-2024 20:11     52M
mame-plugins-0.251-r0.apk                          25-Oct-2024 20:11    166K
mame-tools-0.251-r0.apk                            25-Oct-2024 20:11      3M
mangal-4.0.6-r17.apk                               12-Apr-2025 03:09     11M
mangal-bash-completion-4.0.6-r17.apk               12-Apr-2025 03:09    5115
mangal-fish-completion-4.0.6-r17.apk               12-Apr-2025 03:09    4018
mangal-zsh-completion-4.0.6-r17.apk                12-Apr-2025 03:09    4098
mangr0ve-0.1.2-r0.apk                              25-Oct-2024 20:11    2874
mangr0ve-doc-0.1.2-r0.apk                          25-Oct-2024 20:11     14K
manifest-tool-2.2.0-r0.apk                         12-Apr-2025 22:41      4M
manuals-48.1-r0.apk                                19-Apr-2025 19:01    117K
mapnik-4.0.6-r0.apk                                29-Mar-2025 15:58     12M
mapnik-dev-4.0.6-r0.apk                            29-Mar-2025 15:58    485K
mapnik-doc-4.0.6-r0.apk                            29-Mar-2025 15:58    142K
mapserver-8.4.0-r0.apk                             19-Jan-2025 17:13      1M
mapserver-dev-8.4.0-r0.apk                         19-Jan-2025 17:13    540K
markdown-oxide-0.25.1-r0.apk                       13-Apr-2025 23:55      2M
marxan-4.0.7-r1.apk                                25-Oct-2024 20:11    602K
masky-0.2.0-r1.apk                                 25-Oct-2024 20:11    278K
masky-pyc-0.2.0-r1.apk                             25-Oct-2024 20:11     64K
mat2-0.13.4-r3.apk                                 25-Oct-2024 20:11     35K
mat2-doc-0.13.4-r3.apk                             25-Oct-2024 20:11    7923
mat2-pyc-0.13.4-r3.apk                             25-Oct-2024 20:11     54K
materia-20210322-r1.apk                            25-Oct-2024 20:11    1740
materia-chromium-20210322-r1.apk                   25-Oct-2024 20:11    5845
materia-compact-20210322-r1.apk                    25-Oct-2024 20:11    1745
materia-compact-chromium-20210322-r1.apk           25-Oct-2024 20:11    5861
materia-compact-gnome-shell-20210322-r1.apk        25-Oct-2024 20:11     32K
materia-compact-gtk2-20210322-r1.apk               25-Oct-2024 20:11     38K
materia-compact-gtk3-20210322-r1.apk               25-Oct-2024 20:11     65K
materia-dark-20210322-r1.apk                       25-Oct-2024 20:11    1750
materia-dark-chromium-20210322-r1.apk              25-Oct-2024 20:11    5864
materia-dark-compact-20210322-r1.apk               25-Oct-2024 20:11    1765
materia-dark-compact-chromium-20210322-r1.apk      25-Oct-2024 20:11    5878
materia-dark-compact-gnome-shell-20210322-r1.apk   25-Oct-2024 20:11     32K
materia-dark-compact-gtk2-20210322-r1.apk          25-Oct-2024 20:11     38K
materia-dark-compact-gtk3-20210322-r1.apk          25-Oct-2024 20:11     41K
materia-dark-compact-kde-kvantum-20220823-r0.apk   25-Oct-2024 20:11    1508
materia-dark-gnome-shell-20210322-r1.apk           25-Oct-2024 20:11     32K
materia-dark-gtk2-20210322-r1.apk                  25-Oct-2024 20:11     38K
materia-dark-gtk3-20210322-r1.apk                  25-Oct-2024 20:11     41K
materia-dark-kde-konsole-20220823-r0.apk           25-Oct-2024 20:11    1891
materia-dark-kde-kvantum-20220823-r0.apk           25-Oct-2024 20:11     30K
materia-dark-kde-plasma-20220823-r0.apk            25-Oct-2024 20:11    503K
materia-dark-kde-yakuake-20220823-r0.apk           25-Oct-2024 20:11     22K
materia-gnome-shell-20210322-r1.apk                25-Oct-2024 20:11     32K
materia-gtk-theme-20210322-r1.apk                  25-Oct-2024 20:11    151K
materia-gtk2-20210322-r1.apk                       25-Oct-2024 20:11     38K
materia-gtk3-20210322-r1.apk                       25-Oct-2024 20:11     65K
materia-kde-20220823-r0.apk                        25-Oct-2024 20:11     19K
materia-kde-konsole-20220823-r0.apk                25-Oct-2024 20:11    1878
materia-kde-kvantum-20220823-r0.apk                25-Oct-2024 20:11     30K
materia-kde-plasma-20220823-r0.apk                 25-Oct-2024 20:11      2M
materia-light-compact-kde-kvantum-20220823-r0.apk  25-Oct-2024 20:11    1508
materia-light-kde-kvantum-20220823-r0.apk          25-Oct-2024 20:11     30K
materia-light-kde-plasma-20220823-r0.apk           25-Oct-2024 20:11     20K
materia-light-kde-yakuake-20220823-r0.apk          25-Oct-2024 20:11     21K
mautrix-bluesky-0.1.1-r1.apk                       12-Apr-2025 03:09      9M
mautrix-bluesky-doc-0.1.1-r1.apk                   12-Apr-2025 03:09     13K
mautrix-bluesky-openrc-0.1.1-r1.apk                12-Apr-2025 03:09    2008
mautrix-discord-0.7.3-r0.apk                       16-Apr-2025 17:40      7M
mautrix-discord-doc-0.7.3-r0.apk                   16-Apr-2025 17:40     13K
mautrix-discord-openrc-0.7.3-r0.apk                16-Apr-2025 17:40    1996
mautrix-twitter-0.4.0-r0.apk                       16-Apr-2025 17:40      7M
mautrix-twitter-doc-0.4.0-r0.apk                   16-Apr-2025 17:40     13K
mautrix-twitter-openrc-0.4.0-r0.apk                16-Apr-2025 17:40    2000
maxima-5.47.0-r8.apk                               25-Oct-2024 20:11     22M
maxima-bash-completion-5.47.0-r8.apk               25-Oct-2024 20:11    2449
maxima-doc-5.47.0-r8.apk                           25-Oct-2024 20:11    761K
maxima-doc-extra-5.47.0-r8.apk                     25-Oct-2024 20:11     10M
maxima-emacs-5.47.0-r8.apk                         25-Oct-2024 20:11    111K
mbpfan-2.4.0-r1.apk                                25-Oct-2024 20:11     13K
mbpfan-doc-2.4.0-r1.apk                            25-Oct-2024 20:11    5405
mbpfan-openrc-2.4.0-r1.apk                         25-Oct-2024 20:11    1688
mbrola-3.3-r0.apk                                  25-Oct-2024 20:11     24K
mcjoin-2.11-r0.apk                                 25-Oct-2024 20:11     26K
mcjoin-doc-2.11-r0.apk                             25-Oct-2024 20:11     54K
mcman-0.4.5-r0.apk                                 25-Oct-2024 20:11      3M
mcman-doc-0.4.5-r0.apk                             25-Oct-2024 20:11     14K
mcqd-1.0.0-r1.apk                                  25-Oct-2024 20:11     14K
mcqd-dev-1.0.0-r1.apk                              25-Oct-2024 20:11    4146
md5ha1-0_git20171202-r1.apk                        25-Oct-2024 20:11    8987
mdbook-admonish-1.18.0-r0.apk                      27-Dec-2024 09:08      1M
mdbook-alerts-0.7.0-r0.apk                         11-Jan-2025 12:08    741K
mdbook-katex-0.9.3-r0.apk                          23-Apr-2025 21:27      1M
mdbook-mermaid-0.14.1-r0.apk                       14-Apr-2025 22:08      2M
mdbook-plantuml-0.8.0-r0.apk                       25-Oct-2024 20:11    918K
mdcat-2.7.1-r0.apk                                 14-Dec-2024 18:04      3M
mdcat-bash-completion-2.7.1-r0.apk                 14-Dec-2024 18:04    2257
mdcat-doc-2.7.1-r0.apk                             14-Dec-2024 18:04    6185
mdcat-fish-completion-2.7.1-r0.apk                 14-Dec-2024 18:04    2190
mdcat-zsh-completion-2.7.1-r0.apk                  14-Dec-2024 18:04    2506
mdnsd-0.12-r1.apk                                  25-Oct-2024 20:11     23K
mdnsd-doc-0.12-r1.apk                              25-Oct-2024 20:11     14K
mdnsd-libs-0.12-r1.apk                             25-Oct-2024 20:11     19K
mdnsd-openrc-0.12-r1.apk                           25-Oct-2024 20:11    2184
mdnsd-static-0.12-r1.apk                           25-Oct-2024 20:11     18K
mdp-1.0.15-r1.apk                                  25-Oct-2024 20:11     18K
mdp-doc-1.0.15-r1.apk                              25-Oct-2024 20:11    3795
mediascanner2-0.115-r3.apk                         13-Mar-2025 06:06    278K
mediastreamer2-5.3.100-r0.apk                      18-Feb-2025 10:35    387K
mediastreamer2-dev-5.3.100-r0.apk                  18-Feb-2025 10:35    110K
mediastreamer2-doc-5.3.100-r0.apk                  18-Feb-2025 10:35    108K
mediastreamer2-plugin-openh264-5.2.0_git2023102..> 06-Mar-2025 22:29     12K
mediastreamer2-plugin-x264-20200722-r6.apk         25-Oct-2024 20:11    8623
megatools-1.11.3.20250203-r0.apk                   02-Mar-2025 11:54     64K
megatools-bash-completion-1.11.3.20250203-r0.apk   02-Mar-2025 11:54    4135
megatools-doc-1.11.3.20250203-r0.apk               02-Mar-2025 11:54     52K
megazeux-2.93b-r0.apk                              25-Oct-2024 20:11      1M
megazeux-doc-2.93b-r0.apk                          25-Oct-2024 20:11    454K
meli-0.8.10-r0.apk                                 16-Dec-2024 10:10      5M
meli-doc-0.8.10-r0.apk                             16-Dec-2024 10:10     47K
memdump-1.01-r1.apk                                25-Oct-2024 20:11    5847
memdump-doc-1.01-r1.apk                            25-Oct-2024 20:11    3187
menumaker-0.99.14-r1.apk                           25-Oct-2024 20:11    111K
mergerfs-2.40.2-r1.apk                             25-Oct-2024 20:11    297K
mergerfs-doc-2.40.2-r1.apk                         25-Oct-2024 20:11     42K
merlin-4.14-r0.apk                                 25-Oct-2024 20:11     14M
merlin-dev-4.14-r0.apk                             25-Oct-2024 20:11     22M
merlin-emacs-4.14-r0.apk                           25-Oct-2024 20:11     29K
merlin-vim-4.14-r0.apk                             25-Oct-2024 20:11     28K
meson-tools-0.1-r2.apk                             09-Dec-2024 16:38    8704
meson-tools-doc-0.1-r2.apk                         09-Dec-2024 16:38    8494
mesonlsp-4.3.7-r2.apk                              12-Mar-2025 12:23      2M
metadata-cleaner-2.5.6-r0.apk                      31-Jan-2025 14:31     49K
metadata-cleaner-doc-2.5.6-r0.apk                  31-Jan-2025 14:31      2M
metadata-cleaner-lang-2.5.6-r0.apk                 31-Jan-2025 14:31     66K
metalang99-1.13.3-r0.apk                           25-Oct-2024 20:11     54K
milkytracker-1.04.00-r2.apk                        25-Oct-2024 20:11   1016K
milkytracker-doc-1.04.00-r2.apk                    25-Oct-2024 20:11     50K
mimalloc1-1.8.6-r0.apk                             25-Oct-2024 20:11     73K
mimalloc1-debug-1.8.6-r0.apk                       25-Oct-2024 20:11    176K
mimalloc1-dev-1.8.6-r0.apk                         25-Oct-2024 20:11    436K
mimalloc1-insecure-1.8.6-r0.apk                    25-Oct-2024 20:11     65K
mimedefang-3.6-r0.apk                              02-Mar-2025 12:16    155K
mimedefang-doc-3.6-r0.apk                          02-Mar-2025 12:16     80K
mimeo-2023-r2.apk                                  25-Oct-2024 20:11     28K
mimeo-pyc-2023-r2.apk                              25-Oct-2024 20:11     42K
mingw-w64-libusb-1.0.28-r0.apk                     11-Apr-2025 18:13    220K
minidyndns-1.3.0-r3.apk                            25-Oct-2024 20:11     12K
minidyndns-doc-1.3.0-r3.apk                        25-Oct-2024 20:11    5231
minidyndns-openrc-1.3.0-r3.apk                     25-Oct-2024 20:11    1891
minimodem-0.24-r1.apk                              25-Oct-2024 20:11     22K
minimodem-doc-0.24-r1.apk                          25-Oct-2024 20:11    5322
minisatip-1.3.4-r0.apk                             25-Oct-2024 20:11    303K
minisatip-openrc-1.3.4-r0.apk                      25-Oct-2024 20:11    1943
mint-themes-2.1.1-r0.apk                           25-Oct-2024 20:11    2246
mint-themes-doc-2.1.1-r0.apk                       25-Oct-2024 20:11     13K
mint-x-icons-1.7.2-r0.apk                          24-Dec-2024 10:07     22M
mint-x-icons-doc-1.7.2-r0.apk                      24-Dec-2024 10:07    7555
mint-x-theme-2.1.1-r0.apk                          25-Oct-2024 20:11    2382
mint-x-theme-gtk2-2.1.1-r0.apk                     25-Oct-2024 20:11    490K
mint-x-theme-gtk3-2.1.1-r0.apk                     25-Oct-2024 20:11    603K
mint-x-theme-gtk4-2.1.1-r0.apk                     25-Oct-2024 20:11    510K
mint-x-theme-metacity-2.1.1-r0.apk                 25-Oct-2024 20:11    6196
mint-x-theme-xfwm4-2.1.1-r0.apk                    25-Oct-2024 20:11     35K
mint-y-icons-1.8.3-r0.apk                          05-Feb-2025 22:20     72M
mint-y-icons-doc-1.8.3-r0.apk                      05-Feb-2025 22:20     11K
mint-y-theme-2.1.1-r0.apk                          25-Oct-2024 20:11    4232
mint-y-theme-gtk2-2.1.1-r0.apk                     25-Oct-2024 20:11    656K
mint-y-theme-gtk3-2.1.1-r0.apk                     25-Oct-2024 20:11      2M
mint-y-theme-gtk4-2.1.1-r0.apk                     25-Oct-2024 20:11      2M
mint-y-theme-metacity-2.1.1-r0.apk                 25-Oct-2024 20:11     58K
mint-y-theme-xfwm4-2.1.1-r0.apk                    25-Oct-2024 20:11    207K
mir-2.20.2-r0.apk                                  17-Apr-2025 23:03      2M
mir-demos-2.20.2-r0.apk                            17-Apr-2025 23:03    155K
mir-dev-2.20.2-r0.apk                              17-Apr-2025 23:03      7M
mir-test-tools-2.20.2-r0.apk                       17-Apr-2025 23:03    292K
mirrorhall-0.1.1-r1.apk                            14-Jan-2025 16:36     26K
mjpg-streamer-0_git20210220-r1.apk                 25-Oct-2024 20:11    191K
mkcert-1.4.4-r18.apk                               12-Apr-2025 03:09      2M
mkdocs-bootstrap-1.1.1-r2.apk                      25-Oct-2024 20:11     29K
mkdocs-bootstrap-pyc-1.1.1-r2.apk                  25-Oct-2024 20:11    1855
mkdocs-bootstrap386-0.0.2-r5.apk                   25-Oct-2024 20:11    791K
mkdocs-bootstrap386-pyc-0.0.2-r5.apk               25-Oct-2024 20:11    1858
mkdocs-bootstrap4-0.1.5-r5.apk                     25-Oct-2024 20:11    260K
mkdocs-bootstrap4-pyc-0.1.5-r5.apk                 25-Oct-2024 20:11    1852
mkdocs-bootswatch-1.1-r5.apk                       25-Oct-2024 20:11    538K
mkdocs-bootswatch-pyc-1.1-r5.apk                   25-Oct-2024 20:11    4832
mkdocs-cinder-1.2.0-r5.apk                         25-Oct-2024 20:11    249K
mkdocs-cinder-pyc-1.2.0-r5.apk                     25-Oct-2024 20:11    1839
mkdocs-cluster-0.0.9-r5.apk                        25-Oct-2024 20:11    651K
mkdocs-cluster-pyc-0.0.9-r5.apk                    25-Oct-2024 20:11    1855
mkdocs-gitbook-0.0.1-r5.apk                        25-Oct-2024 20:11    644K
mkdocs-gitbook-pyc-0.0.1-r5.apk                    25-Oct-2024 20:11    1857
mkdocs-ivory-0.4.6-r5.apk                          25-Oct-2024 20:11     11K
mkdocs-ivory-pyc-0.4.6-r5.apk                      25-Oct-2024 20:11    1843
mkdocs-rtd-dropdown-1.0.2-r5.apk                   25-Oct-2024 20:11    248K
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk               25-Oct-2024 20:11    1854
mkdocs-windmill-1.0.5-r4.apk                       25-Oct-2024 20:11    944K
mkdocs-windmill-pyc-1.0.5-r4.apk                   25-Oct-2024 20:11    1850
mkg3a-0.5.0-r1.apk                                 25-Oct-2024 20:11     15K
mkg3a-doc-0.5.0-r1.apk                             25-Oct-2024 20:11    3131
mkosi-25.3-r0.apk                                  01-Feb-2025 22:35    251K
mkosi-pyc-25.3-r0.apk                              01-Feb-2025 22:35    393K
mktorrent-borg-0.9.9-r1.apk                        25-Oct-2024 20:11     11K
mktorrent-borg-doc-0.9.9-r1.apk                    25-Oct-2024 20:11    2548
mlxl-0.1-r0.apk                                    25-Oct-2024 20:11    6591
mm-1.4.2-r1.apk                                    25-Oct-2024 20:11    8933
mm-common-1.0.5-r0.apk                             25-Oct-2024 20:11    473K
mm-common-doc-1.0.5-r0.apk                         25-Oct-2024 20:11     32K
mm-dev-1.4.2-r1.apk                                25-Oct-2024 20:11     13K
mm-doc-1.4.2-r1.apk                                25-Oct-2024 20:11     14K
mmix-0_git20221025-r0.apk                          25-Oct-2024 20:11    150K
mml-1.0.0-r0.apk                                   25-Oct-2024 20:11    864K
mml-bash-completion-1.0.0-r0.apk                   25-Oct-2024 20:11    2363
mml-doc-1.0.0-r0.apk                               25-Oct-2024 20:11    4011
mml-fish-completion-1.0.0-r0.apk                   25-Oct-2024 20:11    2335
mml-zsh-completion-1.0.0-r0.apk                    25-Oct-2024 20:11    2911
mmtc-0.3.2-r0.apk                                  25-Oct-2024 20:11    507K
mnamer-2.5.5-r1.apk                                25-Oct-2024 20:11     32K
mnamer-pyc-2.5.5-r1.apk                            25-Oct-2024 20:11     60K
mobpass-0.2-r6.apk                                 25-Oct-2024 20:11     18K
mobpass-pyc-0.2-r6.apk                             25-Oct-2024 20:11    5367
mod_dnssd-0.6-r0.apk                               25-Oct-2024 20:11    8903
modem-manager-gui-0.0.20-r0.apk                    25-Oct-2024 20:11    373K
modem-manager-gui-doc-0.0.20-r0.apk                25-Oct-2024 20:11      4M
modem-manager-gui-lang-0.0.20-r0.apk               25-Oct-2024 20:11    129K
moe-1.14-r0.apk                                    25-Oct-2024 20:11    109K
moe-doc-1.14-r0.apk                                25-Oct-2024 20:11     19K
moka-icon-theme-5.4.0-r2.apk                       25-Oct-2024 20:11    114M
monetdb-11.33.11-r4.apk                            25-Oct-2024 20:11      2M
monetdb-dev-11.33.11-r4.apk                        25-Oct-2024 20:11     77K
monetdb-doc-11.33.11-r4.apk                        25-Oct-2024 20:11    321K
mongo-cxx-driver-3.8.0-r0.apk                      25-Oct-2024 20:11    202K
mongo-cxx-driver-dev-3.8.0-r0.apk                  25-Oct-2024 20:11     89K
monopd-0.10.4-r0.apk                               11-Jan-2025 11:11    100K
monopd-openrc-0.10.4-r0.apk                        11-Jan-2025 11:11    1723
moon-buggy-1.0.51-r1.apk                           25-Oct-2024 20:11     37K
moon-buggy-doc-1.0.51-r1.apk                       25-Oct-2024 20:11    7306
moosefs-4.56.6-r0.apk                              17-Feb-2025 09:34    254K
moosefs-cgi-4.56.6-r0.apk                          17-Feb-2025 09:34    121K
moosefs-cgiserv-4.56.6-r0.apk                      17-Feb-2025 09:34    7951
moosefs-cgiserv-openrc-4.56.6-r0.apk               17-Feb-2025 09:34    1980
moosefs-chunkserver-4.56.6-r0.apk                  17-Feb-2025 09:34    189K
moosefs-chunkserver-openrc-4.56.6-r0.apk           17-Feb-2025 09:34    1657
moosefs-client-4.56.6-r0.apk                       17-Feb-2025 09:34    632K
moosefs-doc-4.56.6-r0.apk                          17-Feb-2025 09:34     95K
moosefs-master-4.56.6-r0.apk                       17-Feb-2025 09:34    365K
moosefs-master-openrc-4.56.6-r0.apk                17-Feb-2025 09:34    1645
moosefs-metalogger-4.56.6-r0.apk                   17-Feb-2025 09:34     42K
moosefs-metalogger-openrc-4.56.6-r0.apk            17-Feb-2025 09:34    1650
moosefs-static-4.56.6-r0.apk                       17-Feb-2025 09:34    619K
morph-browser-1.1.2-r0.apk                         26-Jan-2025 00:12    573K
morph-browser-lang-1.1.2-r0.apk                    26-Jan-2025 00:12    327K
motion-4.7.0-r0.apk                                25-Oct-2024 20:11    140K
motion-doc-4.7.0-r0.apk                            25-Oct-2024 20:11    140K
motion-lang-4.7.0-r0.apk                           25-Oct-2024 20:11    471K
motion-openrc-4.7.0-r0.apk                         25-Oct-2024 20:11    2302
mp3gain-1.6.2-r3.apk                               03-Jan-2025 16:48     32K
mp3val-0.1.8-r1.apk                                25-Oct-2024 20:11     14K
mpdcron-0.3-r1.apk                                 25-Oct-2024 20:11    104K
mpdcron-dev-0.3-r1.apk                             25-Oct-2024 20:11     59K
mpdcron-doc-0.3-r1.apk                             25-Oct-2024 20:11     13K
mpdcron-zsh-completion-0.3-r1.apk                  25-Oct-2024 20:11    2956
mpdris2-0.9.1-r3.apk                               25-Oct-2024 20:11     15K
mpdris2-doc-0.9.1-r3.apk                           25-Oct-2024 20:11     15K
mpdris2-lang-0.9.1-r3.apk                          25-Oct-2024 20:11    2389
mpdris2-rs-0.2.3-r0.apk                            25-Oct-2024 20:11    745K
mpdris2-rs-doc-0.2.3-r0.apk                        25-Oct-2024 20:11     14K
mpop-1.4.21-r0.apk                                 14-Jan-2025 05:15     70K
mpop-doc-1.4.21-r0.apk                             14-Jan-2025 05:15     33K
mpop-lang-1.4.21-r0.apk                            14-Jan-2025 05:15    130K
mpop-vim-1.4.21-r0.apk                             14-Jan-2025 05:15    2690
mpv-sponsorblock-2.1.0-r0.apk                      25-Oct-2024 20:11      1M
mqtt2prometheus-0.1.7-r15.apk                      12-Apr-2025 03:09      5M
mrsh-0_git20210518-r1.apk                          25-Oct-2024 20:11    5881
mrsh-dbg-0_git20210518-r1.apk                      25-Oct-2024 20:11    188K
mrsh-dev-0_git20210518-r1.apk                      25-Oct-2024 20:11     10K
mrsh-libs-0_git20210518-r1.apk                     25-Oct-2024 20:11     68K
msh-2.5.0-r11.apk                                  12-Apr-2025 03:09      3M
msh-openrc-2.5.0-r11.apk                           12-Apr-2025 03:09    2040
mspdebug-0.25-r1.apk                               25-Oct-2024 20:11    196K
mspdebug-doc-0.25-r1.apk                           25-Oct-2024 20:11     14K
msr-tools-1.3-r1.apk                               25-Oct-2024 20:11     10K
mtree-portable-0_git20220519-r0.apk                25-Oct-2024 20:11     24K
mtree-portable-doc-0_git20220519-r0.apk            25-Oct-2024 20:11     12K
musikcube-3.0.4-r1.apk                             26-Jan-2025 19:50      2M
musikcube-dev-3.0.4-r1.apk                         26-Jan-2025 19:50     19K
musikcube-plugin-all-3.0.4-r1.apk                  26-Jan-2025 19:50    1313
musikcube-plugin-httpdatastream-3.0.4-r1.apk       26-Jan-2025 19:50     87K
musikcube-plugin-mpris-3.0.4-r1.apk                26-Jan-2025 19:50     23K
musikcube-plugin-openmpt-3.0.4-r1.apk              26-Jan-2025 19:50     34K
musikcube-plugin-server-3.0.4-r1.apk               26-Jan-2025 19:50    406K
musikcube-plugin-stockencoders-3.0.4-r1.apk        26-Jan-2025 19:50     22K
musikcube-plugin-supereqdsp-3.0.4-r1.apk           26-Jan-2025 19:50     29K
musikcube-plugin-taglibreader-3.0.4-r1.apk         26-Jan-2025 19:50     39K
mxclient-0_git20211002-r1.apk                      25-Oct-2024 20:11     81K
n30f-2.0-r3.apk                                    25-Oct-2024 20:11    6925
nano-hare-0_git20231021-r0.apk                     25-Oct-2024 20:11    2234
nauty-2.8.9-r0.apk                                 25-Oct-2024 20:11      6M
nauty-dev-2.8.9-r0.apk                             25-Oct-2024 20:11      3M
nauty-libs-2.8.9-r0.apk                            25-Oct-2024 20:11      2M
nb-7.17.0-r0.apk                                   20-Apr-2025 05:16    151K
nb-bash-completion-7.17.0-r0.apk                   20-Apr-2025 05:16    3059
nb-doc-7.17.0-r0.apk                               20-Apr-2025 05:16     76K
nb-fish-completion-7.17.0-r0.apk                   20-Apr-2025 05:16    2861
nb-full-7.17.0-r0.apk                              20-Apr-2025 05:16    1330
nb-zsh-completion-7.17.0-r0.apk                    20-Apr-2025 05:16    3022
nbsdgames-5-r0.apk                                 25-Oct-2024 20:11    105K
nbsdgames-doc-5-r0.apk                             25-Oct-2024 20:11    9620
ndpi-4.10-r0.apk                                   25-Oct-2024 20:11      1M
ndpi-dev-4.10-r0.apk                               25-Oct-2024 20:11    898K
neard-0.19-r0.apk                                  25-Oct-2024 20:11    134K
neard-dev-0.19-r0.apk                              25-Oct-2024 20:11     11K
neard-doc-0.19-r0.apk                              25-Oct-2024 20:11    5745
neard-openrc-0.19-r0.apk                           25-Oct-2024 20:11    1752
neko-2.3.0-r0.apk                                  20-Nov-2024 22:26    450K
neko-dev-2.3.0-r0.apk                              20-Nov-2024 22:26     10K
neko-doc-2.3.0-r0.apk                              20-Nov-2024 22:26     20K
nemo-gtkhash-plugin-1.5-r0.apk                     25-Oct-2024 20:11     26K
neocmakelsp-0.8.19-r0.apk                          27-Feb-2025 18:25      2M
neocmakelsp-bash-completion-0.8.19-r0.apk          27-Feb-2025 18:25    2358
neocmakelsp-doc-0.8.19-r0.apk                      27-Feb-2025 18:25    5650
neocmakelsp-fish-completion-0.8.19-r0.apk          27-Feb-2025 18:25    2271
neocmakelsp-zsh-completion-0.8.19-r0.apk           27-Feb-2025 18:25    2518
neofetch-7.1.0-r2.apk                              06-Nov-2024 21:46     86K
neofetch-doc-7.1.0-r2.apk                          06-Nov-2024 21:46    6330
nerdlog-1.0.0-r0.apk                               24-Apr-2025 11:00      3M
netdiscover-0.11-r0.apk                            26-Mar-2025 21:49    443K
netdiscover-doc-0.11-r0.apk                        26-Mar-2025 21:49    4207
netscanner-0.5.1-r1.apk                            25-Oct-2024 20:11      4M
netscanner-doc-0.5.1-r1.apk                        25-Oct-2024 20:11    3412
netsed-1.3-r3.apk                                  25-Oct-2024 20:11     11K
networkmanager-openconnect-1.2.10-r1.apk           07-Apr-2025 22:00     65K
networkmanager-openconnect-lang-1.2.10-r1.apk      07-Apr-2025 22:00    986K
newsyslog-1.2.0.91-r1.apk                          25-Oct-2024 20:11     17K
newsyslog-doc-1.2.0.91-r1.apk                      25-Oct-2024 20:11     24K
nextpnr-0.7-r0.apk                                 25-Oct-2024 20:11    1478
nextpnr-ecp5-0.7-r0.apk                            25-Oct-2024 20:11     25M
nextpnr-generic-0.7-r0.apk                         25-Oct-2024 20:11    781K
nextpnr-gowin-0.7-r0.apk                           25-Oct-2024 20:11      2M
nextpnr-ice40-0.7-r0.apk                           25-Oct-2024 20:11     69M
nfcd-1.2.1-r0.apk                                  13-Apr-2025 22:18    313K
nfcd-dev-1.2.1-r0.apk                              13-Apr-2025 22:18     23K
nfoview-2.1-r0.apk                                 13-Apr-2025 10:30     39K
nfoview-doc-2.1-r0.apk                             13-Apr-2025 10:30    8195
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r..> 25-Oct-2024 20:11    713K
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.40..> 25-Oct-2024 20:11     21K
nicotine-plus-3.3.7-r0.apk                         16-Dec-2024 14:21      1M
nicotine-plus-doc-3.3.7-r0.apk                     16-Dec-2024 14:21    2574
nicotine-plus-lang-3.3.7-r0.apk                    16-Dec-2024 14:21    661K
nicotine-plus-pyc-3.3.7-r0.apk                     16-Dec-2024 14:21    781K
nil-2024.08.06-r0.apk                              29-Mar-2025 15:58      2M
nitro-2.7_beta8-r2.apk                             25-Oct-2024 20:11    536K
nitro-dev-2.7_beta8-r2.apk                         25-Oct-2024 20:11    190K
nitrocli-0.4.1-r3.apk                              25-Oct-2024 20:11    438K
nitrocli-bash-completion-0.4.1-r3.apk              25-Oct-2024 20:11    3323
nitrocli-doc-0.4.1-r3.apk                          25-Oct-2024 20:11    9042
nixpacks-0.1.7-r1.apk                              25-Oct-2024 20:11    904K
nkk-0_git20221010-r0.apk                           25-Oct-2024 20:11     16K
nkk-dev-0_git20221010-r0.apk                       25-Oct-2024 20:11    2969
nkk-doc-0_git20221010-r0.apk                       25-Oct-2024 20:11    7138
nlopt-2.10.0-r0.apk                                06-Feb-2025 04:49    194K
nlopt-dev-2.10.0-r0.apk                            06-Feb-2025 04:49     12K
nlopt-doc-2.10.0-r0.apk                            06-Feb-2025 04:49     23K
nlopt-guile-2.10.0-r0.apk                          06-Feb-2025 04:49     44K
nlopt-octave-2.10.0-r0.apk                         06-Feb-2025 04:49     28K
nm-tray-0.5.0-r0.apk                               25-Oct-2024 20:11    106K
nm-tray-lang-0.5.0-r0.apk                          25-Oct-2024 20:11     27K
nmap-parse-output-1.5.1-r0.apk                     25-Oct-2024 20:11     21K
nmap-parse-output-bash-completion-1.5.1-r0.apk     25-Oct-2024 20:11    1778
nmap-parse-output-doc-1.5.1-r0.apk                 25-Oct-2024 20:11    807K
nmon-16q-r0.apk                                    25-Oct-2024 20:11     74K
noblenote-1.2.1-r1.apk                             25-Oct-2024 20:11    418K
noggin-model-0.1-r0.apk                            25-Oct-2024 20:11     12M
noggin-model-lightweight-0.1-r0.apk                25-Oct-2024 20:11      2M
noice-0.8-r1.apk                                   25-Oct-2024 20:11     10K
noice-doc-0.8-r1.apk                               25-Oct-2024 20:11    3444
nom-2.8.0-r2.apk                                   12-Apr-2025 03:09      7M
nom-doc-2.8.0-r2.apk                               12-Apr-2025 03:09    4122
normaliz-3.10.4-r0.apk                             30-Oct-2024 12:50     46K
normaliz-dev-3.10.4-r0.apk                         30-Oct-2024 12:50     73K
normaliz-libs-3.10.4-r0.apk                        30-Oct-2024 12:50      3M
notification-daemon-3.20.0-r0.apk                  25-Oct-2024 20:11     62K
nsh-0.4.2-r1.apk                                   25-Oct-2024 20:11    627K
nsh-dbg-0.4.2-r1.apk                               25-Oct-2024 20:11      3M
nsnake-3.0.0-r0.apk                                25-Oct-2024 20:11     10K
nsnake-doc-3.0.0-r0.apk                            25-Oct-2024 20:11    2691
nsq-1.3.0-r9.apk                                   12-Apr-2025 03:09     27M
ntpd-rs-1.4.0-r0.apk                               14-Dec-2024 19:46      2M
ntpd-rs-doc-1.4.0-r0.apk                           14-Dec-2024 19:46     23K
ntpd-rs-openrc-1.4.0-r0.apk                        14-Dec-2024 19:46    1887
nuklear-4.12.0-r0.apk                              25-Oct-2024 20:11    220K
nuklear-doc-4.12.0-r0.apk                          25-Oct-2024 20:11     42K
nullmailer-2.2-r4.apk                              25-Oct-2024 20:11    139K
nullmailer-doc-2.2-r4.apk                          25-Oct-2024 20:11     10K
nullmailer-openrc-2.2-r4.apk                       25-Oct-2024 20:11    1633
numbat-1.9.0-r0.apk                                25-Oct-2024 20:11      1M
numbat-doc-1.9.0-r0.apk                            25-Oct-2024 20:11     25K
nuzzle-1.6-r0.apk                                  17-Jan-2025 14:21     11K
nuzzle-doc-1.6-r0.apk                              17-Jan-2025 14:21    3281
nvim-cmp-0.0.0_git20221011-r1.apk                  25-Oct-2024 20:11     55K
nvim-cmp-buffer-0.0.0_git20220810-r1.apk           25-Oct-2024 20:11    7994
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk       25-Oct-2024 20:11    4255
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk          25-Oct-2024 20:11    3394
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk      25-Oct-2024 20:11    1831
nvim-cmp-doc-0.0.0_git20221011-r1.apk              25-Oct-2024 20:11     10K
nvim-cmp-lsp-0.0.0_git20220516-r1.apk              25-Oct-2024 20:11    3564
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk          25-Oct-2024 20:11    2666
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk          25-Oct-2024 20:11    3607
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk      25-Oct-2024 20:11    2087
nvim-cmp-path-0.0.0_git20221002-r1.apk             25-Oct-2024 20:11    3860
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk         25-Oct-2024 20:11    2023
nvim-gruvbox-0.0.0_git20221212-r1.apk              25-Oct-2024 20:11     10K
nvim-gruvbox-doc-0.0.0_git20221212-r1.apk          25-Oct-2024 20:11    2862
nvim-lualine-0.0.0_git20241101-r0.apk              13-Nov-2024 23:09     60K
nvim-lualine-doc-0.0.0_git20241101-r0.apk          13-Nov-2024 23:09     31K
nvim-packer-0.0.0_git20220910-r1.apk               25-Oct-2024 20:11     46K
nvim-packer-doc-0.0.0_git20220910-r1.apk           25-Oct-2024 20:11     21K
nvim-plenary-0.1.4_git20240917-r0.apk              11-Nov-2024 04:07    102K
nvim-plenary-doc-0.1.4_git20240917-r0.apk          11-Nov-2024 04:07     10K
nvim-treesitter-0.9.3-r0.apk                       04-Nov-2024 03:05    388K
nvim-treesitter-doc-0.9.3-r0.apk                   04-Nov-2024 03:05     30K
nvim-web-devicons-0.100_git20241011-r0.apk         11-Nov-2024 03:58     27K
nvim-web-devicons-doc-0.100_git20241011-r0.apk     11-Nov-2024 03:58    7289
nvimpager-0.12.0-r0.apk                            25-Oct-2024 20:11     13K
nvimpager-doc-0.12.0-r0.apk                        25-Oct-2024 20:11    4532
nvimpager-zsh-completion-0.12.0-r0.apk             25-Oct-2024 20:11    1870
nvtop-3.1.0-r0.apk                                 25-Oct-2024 20:11     58K
nvtop-doc-3.1.0-r0.apk                             25-Oct-2024 20:11    3613
nwg-bar-0.1.6-r9.apk                               12-Apr-2025 03:09      2M
nwg-displays-0.3.13-r1.apk                         25-Oct-2024 20:11     24K
nwg-displays-pyc-0.3.13-r1.apk                     25-Oct-2024 20:11     35K
nwg-dock-0.3.9-r10.apk                             12-Apr-2025 03:09      2M
nwg-panel-0.10.1-r0.apk                            14-Apr-2025 09:34    279K
nwg-panel-doc-0.10.1-r0.apk                        14-Apr-2025 09:34    4388
nwg-panel-pyc-0.10.1-r0.apk                        14-Apr-2025 09:34    263K
nymphcast-mediaserver-0.1-r3.apk                   25-Oct-2024 20:11    140K
nymphcast-mediaserver-nftables-0.1-r3.apk          25-Oct-2024 20:11    1724
nzbget-24.8-r0.apk                                 19-Mar-2025 11:46      5M
nzbget-openrc-24.8-r0.apk                          19-Mar-2025 11:46    2075
oauth2-proxy-7.8.1-r1.apk                          12-Apr-2025 03:09      9M
oauth2-proxy-openrc-7.8.1-r1.apk                   12-Apr-2025 03:09    2168
objconv-2.52_git20210213-r2.apk                    25-Oct-2024 20:11    264K
oblibs-0.3.2.1-r0.apk                              25-Jan-2025 16:17     30K
oblibs-dev-0.3.2.1-r0.apk                          25-Jan-2025 16:17     57K
ocaml-alcotest-1.5.0-r4.apk                        25-Oct-2024 20:11    457K
ocaml-alcotest-dev-1.5.0-r4.apk                    25-Oct-2024 20:11    821K
ocaml-amqp-client-2.3.0-r0.apk                     25-Oct-2024 20:11    598K
ocaml-amqp-client-dev-2.3.0-r0.apk                 25-Oct-2024 20:11      1M
ocaml-angstrom-0.16.0-r0.apk                       25-Oct-2024 20:11    175K
ocaml-angstrom-dev-0.16.0-r0.apk                   25-Oct-2024 20:11    340K
ocaml-arp-3.0.0-r3.apk                             25-Oct-2024 20:11     83K
ocaml-arp-dev-3.0.0-r3.apk                         25-Oct-2024 20:11    158K
ocaml-asn1-combinators-0.2.6-r2.apk                25-Oct-2024 20:11    313K
ocaml-asn1-combinators-dev-0.2.6-r2.apk            25-Oct-2024 20:11    572K
ocaml-astring-0.8.5-r2.apk                         25-Oct-2024 20:11    283K
ocaml-astring-dev-0.8.5-r2.apk                     25-Oct-2024 20:11    180K
ocaml-atd-2.15.0-r0.apk                            25-Oct-2024 20:11      6M
ocaml-atd-dev-2.15.0-r0.apk                        25-Oct-2024 20:11      2M
ocaml-base-0.16.3-r0.apk                           25-Oct-2024 20:11      5M
ocaml-base-dev-0.16.3-r0.apk                       25-Oct-2024 20:11     10M
ocaml-base64-3.5.0-r2.apk                          25-Oct-2024 20:11     88K
ocaml-base64-dev-3.5.0-r2.apk                      25-Oct-2024 20:11    175K
ocaml-bigarray-compat-1.1.0-r2.apk                 25-Oct-2024 20:11     13K
ocaml-bigarray-compat-dev-1.1.0-r2.apk             25-Oct-2024 20:11     12K
ocaml-bigstringaf-0.9.0-r2.apk                     25-Oct-2024 20:11     47K
ocaml-bigstringaf-dev-0.9.0-r2.apk                 25-Oct-2024 20:11     91K
ocaml-biniou-1.2.1-r5.apk                          25-Oct-2024 20:11    552K
ocaml-biniou-dev-1.2.1-r5.apk                      25-Oct-2024 20:11    364K
ocaml-bisect_ppx-2.8.3-r0.apk                      25-Oct-2024 20:11      4M
ocaml-bisect_ppx-dev-2.8.3-r0.apk                  25-Oct-2024 20:11    545K
ocaml-bitstring-4.1.0-r3.apk                       25-Oct-2024 20:11      4M
ocaml-bitstring-dev-4.1.0-r3.apk                   25-Oct-2024 20:11    570K
ocaml-bos-0.2.1-r2.apk                             25-Oct-2024 20:11    455K
ocaml-bos-dev-0.2.1-r2.apk                         25-Oct-2024 20:11    345K
ocaml-ca-certs-0.2.2-r2.apk                        25-Oct-2024 20:11     28K
ocaml-ca-certs-dev-0.2.2-r2.apk                    25-Oct-2024 20:11     40K
ocaml-ca-certs-nss-3.89.1-r1.apk                   25-Oct-2024 20:11    395K
ocaml-ca-certs-nss-dev-3.89.1-r1.apk               25-Oct-2024 20:11    447K
ocaml-ca-certs-nss-tools-3.89.1-r1.apk             25-Oct-2024 20:11      2M
ocaml-cairo2-0.6.2-r2.apk                          25-Oct-2024 20:11    166K
ocaml-cairo2-dev-0.6.2-r2.apk                      25-Oct-2024 20:11    434K
ocaml-calendar-2.04-r4.apk                         25-Oct-2024 20:11    212K
ocaml-calendar-dev-2.04-r4.apk                     25-Oct-2024 20:11    139K
ocaml-calendar-doc-2.04-r4.apk                     25-Oct-2024 20:11     12K
ocaml-camlpdf-2.8-r0.apk                           23-Mar-2025 07:18      6M
ocaml-camlzip-1.11-r2.apk                          25-Oct-2024 20:11    114K
ocaml-camlzip-dev-1.11-r2.apk                      25-Oct-2024 20:11    216K
ocaml-camomile-1.0.2-r3.apk                        25-Oct-2024 20:11      1M
ocaml-camomile-data-1.0.2-r3.apk                   25-Oct-2024 20:11      5M
ocaml-camomile-dev-1.0.2-r3.apk                    25-Oct-2024 20:12      2M
ocaml-charinfo_width-1.1.0-r3.apk                  25-Oct-2024 20:12    102K
ocaml-charinfo_width-dev-1.1.0-r3.apk              25-Oct-2024 20:12    188K
ocaml-cmdliner-1.1.1-r3.apk                        25-Oct-2024 20:12    462K
ocaml-cmdliner-dev-1.1.1-r3.apk                    25-Oct-2024 20:12    236K
ocaml-cmdliner-doc-1.1.1-r3.apk                    25-Oct-2024 20:12     20K
ocaml-cohttp-5.3.1-r0.apk                          25-Oct-2024 20:12    664K
ocaml-cohttp-dev-5.3.1-r0.apk                      25-Oct-2024 20:12      1M
ocaml-cohttp-tools-5.3.1-r0.apk                    25-Oct-2024 20:12      7M
ocaml-compiler-libs-repackaged-0.12.4-r3.apk       25-Oct-2024 20:12     77K
ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk   25-Oct-2024 20:12    104K
ocaml-conduit-6.1.0-r0.apk                         25-Oct-2024 20:12    284K
ocaml-conduit-dev-6.1.0-r0.apk                     25-Oct-2024 20:12    542K
ocaml-containers-3.7-r2.apk                        25-Oct-2024 20:12      3M
ocaml-containers-dev-3.7-r2.apk                    25-Oct-2024 20:12      7M
ocaml-containers-top-3.7-r2.apk                    25-Oct-2024 20:12     23K
ocaml-cpdf-2.8-r0.apk                              23-Mar-2025 07:18      5M
ocaml-cstruct-6.1.0-r3.apk                         25-Oct-2024 20:12      4M
ocaml-cstruct-dev-6.1.0-r3.apk                     25-Oct-2024 20:12    700K
ocaml-ctypes-0.20.1-r2.apk                         25-Oct-2024 20:12    867K
ocaml-ctypes-dev-0.20.1-r2.apk                     25-Oct-2024 20:12    847K
ocaml-curses-1.0.10-r2.apk                         25-Oct-2024 20:12    139K
ocaml-curses-dev-1.0.10-r2.apk                     25-Oct-2024 20:12    303K
ocaml-dns-6.2.2-r3.apk                             25-Oct-2024 20:12      2M
ocaml-dns-dev-6.2.2-r3.apk                         25-Oct-2024 20:12      4M
ocaml-dns-tools-6.2.2-r3.apk                       25-Oct-2024 20:12     10M
ocaml-domain-name-0.4.0-r2.apk                     25-Oct-2024 20:12     75K
ocaml-domain-name-dev-0.4.0-r2.apk                 25-Oct-2024 20:12    141K
ocaml-down-0.1.0-r3.apk                            25-Oct-2024 20:12    584K
ocaml-down-dev-0.1.0-r3.apk                        25-Oct-2024 20:12    266K
ocaml-duration-0.2.0-r2.apk                        25-Oct-2024 20:12     27K
ocaml-duration-dev-0.2.0-r2.apk                    25-Oct-2024 20:12     44K
ocaml-easy-format-1.3.4-r1.apk                     25-Oct-2024 20:12     60K
ocaml-easy-format-dev-1.3.4-r1.apk                 25-Oct-2024 20:12    111K
ocaml-eqaf-0.8-r2.apk                              25-Oct-2024 20:12     68K
ocaml-eqaf-dev-0.8-r2.apk                          25-Oct-2024 20:12    121K
ocaml-erm_xml-0_git20211229-r2.apk                 25-Oct-2024 20:12    574K
ocaml-erm_xml-dev-0_git20211229-r2.apk             25-Oct-2024 20:12    770K
ocaml-erm_xmpp-0_git20220404-r2.apk                25-Oct-2024 20:12      1M
ocaml-erm_xmpp-dev-0_git20220404-r2.apk            25-Oct-2024 20:12      2M
ocaml-ethernet-3.0.0-r3.apk                        25-Oct-2024 20:12     42K
ocaml-ethernet-dev-3.0.0-r3.apk                    25-Oct-2024 20:12     83K
ocaml-extlib-1.7.9-r2.apk                          25-Oct-2024 20:12    629K
ocaml-extlib-dev-1.7.9-r2.apk                      25-Oct-2024 20:12      1M
ocaml-extlib-doc-1.7.9-r2.apk                      25-Oct-2024 20:12     11K
ocaml-ezxmlm-1.1.0-r0.apk                          25-Oct-2024 20:12     32K
ocaml-ezxmlm-dev-1.1.0-r0.apk                      25-Oct-2024 20:12     52K
ocaml-fileutils-0.6.4-r2.apk                       25-Oct-2024 20:12    309K
ocaml-fileutils-dev-0.6.4-r2.apk                   25-Oct-2024 20:12    598K
ocaml-fileutils-doc-0.6.4-r2.apk                   25-Oct-2024 20:12     16K
ocaml-fix-20220121-r2.apk                          25-Oct-2024 20:12    165K
ocaml-fix-dev-20220121-r2.apk                      25-Oct-2024 20:12    430K
ocaml-fmt-0.9.0-r2.apk                             25-Oct-2024 20:12    188K
ocaml-fmt-dev-0.9.0-r2.apk                         25-Oct-2024 20:12    123K
ocaml-fpath-0.7.3-r2.apk                           25-Oct-2024 20:12    137K
ocaml-fpath-dev-0.7.3-r2.apk                       25-Oct-2024 20:12    100K
ocaml-gen-1.1-r1.apk                               25-Oct-2024 20:12    320K
ocaml-gen-dev-1.1-r1.apk                           25-Oct-2024 20:12    608K
ocaml-gettext-0.4.2-r3.apk                         25-Oct-2024 20:12      3M
ocaml-gettext-dev-0.4.2-r3.apk                     25-Oct-2024 20:12    725K
ocaml-gettext-doc-0.4.2-r3.apk                     25-Oct-2024 20:12     19K
ocaml-gitlab-0.1.8-r0.apk                          25-Oct-2024 20:12      3M
ocaml-gitlab-dev-0.1.8-r0.apk                      25-Oct-2024 20:12     11M
ocaml-gmap-0.3.0-r2.apk                            25-Oct-2024 20:12     36K
ocaml-gmap-dev-0.3.0-r2.apk                        25-Oct-2024 20:12     74K
ocaml-happy-eyeballs-0.3.0-r2.apk                  25-Oct-2024 20:12     83K
ocaml-happy-eyeballs-dev-0.3.0-r2.apk              25-Oct-2024 20:12    147K
ocaml-happy-eyeballs-lwt-0.3.0-r3.apk              25-Oct-2024 20:12     39K
ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk          25-Oct-2024 20:12     59K
ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk        25-Oct-2024 20:12      2M
ocaml-hex-1.5.0-r2.apk                             25-Oct-2024 20:12     29K
ocaml-hex-dev-1.5.0-r2.apk                         25-Oct-2024 20:12     53K
ocaml-higlo-0.9-r0.apk                             25-Oct-2024 20:12      5M
ocaml-higlo-dev-0.9-r0.apk                         25-Oct-2024 20:12    663K
ocaml-hkdf-1.0.4-r2.apk                            25-Oct-2024 20:12     14K
ocaml-hkdf-dev-1.0.4-r2.apk                        25-Oct-2024 20:12     18K
ocaml-integers-0.7.0-r2.apk                        25-Oct-2024 20:12    130K
ocaml-integers-dev-0.7.0-r2.apk                    25-Oct-2024 20:12    271K
ocaml-ipaddr-5.3.1-r2.apk                          25-Oct-2024 20:12    311K
ocaml-ipaddr-dev-5.3.1-r2.apk                      25-Oct-2024 20:12    556K
ocaml-iri-1.0.0-r0.apk                             25-Oct-2024 20:12      2M
ocaml-iri-dev-1.0.0-r0.apk                         25-Oct-2024 20:12    758K
ocaml-iso8601-0.2.6-r0.apk                         25-Oct-2024 20:12     52K
ocaml-iso8601-dev-0.2.6-r0.apk                     25-Oct-2024 20:12     87K
ocaml-jsonm-1.0.2-r0.apk                           25-Oct-2024 20:12    116K
ocaml-jsonm-dev-1.0.2-r0.apk                       25-Oct-2024 20:12     65K
ocaml-jsonm-tools-1.0.2-r0.apk                     25-Oct-2024 20:12    432K
ocaml-lablgtk3-3.1.2-r3.apk                        25-Oct-2024 20:12      8M
ocaml-lablgtk3-dev-3.1.2-r3.apk                    25-Oct-2024 20:12     14M
ocaml-lablgtk3-extras-3.0.1-r2.apk                 25-Oct-2024 20:12    871K
ocaml-lablgtk3-extras-dev-3.0.1-r2.apk             25-Oct-2024 20:12      2M
ocaml-labltk-8.06.12-r2.apk                        25-Oct-2024 20:12      3M
ocaml-labltk-dev-8.06.12-r2.apk                    25-Oct-2024 20:12      1M
ocaml-lambda-term-3.2.0-r4.apk                     25-Oct-2024 20:12      3M
ocaml-lambda-term-dev-3.2.0-r4.apk                 25-Oct-2024 20:12      4M
ocaml-lambda-term-doc-3.2.0-r4.apk                 25-Oct-2024 20:12    9019
ocaml-lambdasoup-0.7.3-r2.apk                      25-Oct-2024 20:12    188K
ocaml-lambdasoup-dev-0.7.3-r2.apk                  25-Oct-2024 20:12    345K
ocaml-libvirt-0.6.1.7-r0.apk                       25-Oct-2024 20:12    177K
ocaml-libvirt-dev-0.6.1.7-r0.apk                   25-Oct-2024 20:12     92K
ocaml-libvirt-doc-0.6.1.7-r0.apk                   25-Oct-2024 20:12     14K
ocaml-logs-0.7.0-r3.apk                            25-Oct-2024 20:12    115K
ocaml-logs-dev-0.7.0-r3.apk                        25-Oct-2024 20:12     86K
ocaml-lru-0.3.0-r2.apk                             25-Oct-2024 20:12     75K
ocaml-lru-dev-0.3.0-r2.apk                         25-Oct-2024 20:12    162K
ocaml-lwd-0.3-r0.apk                               25-Oct-2024 20:12    486K
ocaml-lwd-dev-0.3-r0.apk                           25-Oct-2024 20:12   1016K
ocaml-lwt-5.7.0-r0.apk                             25-Oct-2024 20:12      1M
ocaml-lwt-dev-5.7.0-r0.apk                         25-Oct-2024 20:12      3M
ocaml-lwt-dllist-1.0.1-r3.apk                      25-Oct-2024 20:12     23K
ocaml-lwt-dllist-dev-1.0.1-r3.apk                  25-Oct-2024 20:12     40K
ocaml-lwt_log-1.1.1-r5.apk                         25-Oct-2024 20:12    131K
ocaml-lwt_log-dev-1.1.1-r5.apk                     25-Oct-2024 20:12    255K
ocaml-lwt_ppx-5.7.0-r0.apk                         25-Oct-2024 20:12      4M
ocaml-lwt_react-5.7.0-r0.apk                       25-Oct-2024 20:12    119K
ocaml-lwt_ssl-1.2.0-r0.apk                         25-Oct-2024 20:12     29K
ocaml-lwt_ssl-dev-1.2.0-r0.apk                     25-Oct-2024 20:12     47K
ocaml-magic-mime-1.3.1-r0.apk                      25-Oct-2024 20:12    210K
ocaml-magic-mime-dev-1.3.1-r0.apk                  25-Oct-2024 20:12    311K
ocaml-markup-1.0.3-r3.apk                          25-Oct-2024 20:12    996K
ocaml-markup-dev-1.0.3-r3.apk                      25-Oct-2024 20:12      2M
ocaml-menhir-20220210-r3.apk                       08-Dec-2024 16:20      1M
ocaml-menhir-dev-20220210-r3.apk                   08-Dec-2024 16:20    891K
ocaml-menhir-doc-20220210-r3.apk                   08-Dec-2024 16:20    610K
ocaml-merlin-extend-0.6.1-r2.apk                   25-Oct-2024 20:12     49K
ocaml-merlin-extend-dev-0.6.1-r2.apk               25-Oct-2024 20:12     91K
ocaml-metrics-0.4.0-r3.apk                         25-Oct-2024 20:12    242K
ocaml-metrics-dev-0.4.0-r3.apk                     25-Oct-2024 20:12    448K
ocaml-mew-0.1.0-r3.apk                             25-Oct-2024 20:12     69K
ocaml-mew-dev-0.1.0-r3.apk                         25-Oct-2024 20:12     96K
ocaml-mew_vi-0.5.0-r3.apk                          25-Oct-2024 20:12    182K
ocaml-mew_vi-dev-0.5.0-r3.apk                      25-Oct-2024 20:12    294K
ocaml-mikmatch-1.0.9-r2.apk                        25-Oct-2024 20:12    144K
ocaml-mirage-clock-4.2.0-r2.apk                    25-Oct-2024 20:12     30K
ocaml-mirage-clock-dev-4.2.0-r2.apk                25-Oct-2024 20:12     35K
ocaml-mirage-crypto-0.10.6-r3.apk                  25-Oct-2024 20:12      1M
ocaml-mirage-crypto-dev-0.10.6-r3.apk              25-Oct-2024 20:12      5M
ocaml-mirage-flow-3.0.0-r3.apk                     25-Oct-2024 20:12    108K
ocaml-mirage-flow-dev-3.0.0-r3.apk                 25-Oct-2024 20:12    193K
ocaml-mirage-kv-4.0.1-r3.apk                       25-Oct-2024 20:12     20K
ocaml-mirage-kv-dev-4.0.1-r3.apk                   25-Oct-2024 20:12     43K
ocaml-mirage-net-4.0.0-r3.apk                      25-Oct-2024 20:12     12K
ocaml-mirage-net-dev-4.0.0-r3.apk                  25-Oct-2024 20:12     20K
ocaml-mirage-profile-0.9.1-r3.apk                  25-Oct-2024 20:12     24K
ocaml-mirage-profile-dev-0.9.1-r3.apk              25-Oct-2024 20:12     32K
ocaml-mirage-random-3.0.0-r3.apk                   25-Oct-2024 20:12    7258
ocaml-mirage-random-dev-3.0.0-r3.apk               25-Oct-2024 20:12    5510
ocaml-mirage-time-3.0.0-r4.apk                     25-Oct-2024 20:12     12K
ocaml-mirage-time-dev-3.0.0-r4.apk                 25-Oct-2024 20:12    8462
ocaml-mmap-1.2.0-r3.apk                            25-Oct-2024 20:12    7392
ocaml-mmap-dev-1.2.0-r3.apk                        25-Oct-2024 20:12    6641
ocaml-mqtt-0.2.2-r0.apk                            25-Oct-2024 20:12    155K
ocaml-mqtt-dev-0.2.2-r0.apk                        25-Oct-2024 20:12    256K
ocaml-mtime-1.4.0-r2.apk                           25-Oct-2024 20:12     49K
ocaml-mtime-dev-1.4.0-r2.apk                       25-Oct-2024 20:12     47K
ocaml-notty-0.2.3-r0.apk                           25-Oct-2024 20:12    308K
ocaml-notty-dev-0.2.3-r0.apk                       25-Oct-2024 20:12    610K
ocaml-num-1.4-r3.apk                               25-Oct-2024 20:12    255K
ocaml-num-dev-1.4-r3.apk                           25-Oct-2024 20:12     92K
ocaml-obuild-0.1.11-r0.apk                         25-Oct-2024 20:12      1M
ocaml-ocf-0.8.0-r3.apk                             25-Oct-2024 20:12      7M
ocaml-ocf-dev-0.8.0-r3.apk                         25-Oct-2024 20:12    318K
ocaml-ocp-indent-1.8.2-r2.apk                      25-Oct-2024 20:12    531K
ocaml-ocp-indent-dev-1.8.2-r2.apk                  25-Oct-2024 20:12    638K
ocaml-ocp-index-1.3.6-r0.apk                       25-Oct-2024 20:12    451K
ocaml-ocp-index-dev-1.3.6-r0.apk                   25-Oct-2024 20:12    529K
ocaml-ocplib-endian-1.2-r3.apk                     25-Oct-2024 20:12    157K
ocaml-ocplib-endian-dev-1.2-r3.apk                 25-Oct-2024 20:12    259K
ocaml-omake-0.10.6-r0.apk                          25-Oct-2024 20:12      2M
ocaml-omake-doc-0.10.6-r0.apk                      25-Oct-2024 20:12    8233
ocaml-omod-0.0.3-r3.apk                            25-Oct-2024 20:12    327K
ocaml-omod-bin-0.0.3-r3.apk                        25-Oct-2024 20:12      3M
ocaml-omod-dev-0.0.3-r3.apk                        25-Oct-2024 20:12    211K
ocaml-otoml-1.0.5-r0.apk                           25-Oct-2024 20:12    437K
ocaml-otoml-dev-1.0.5-r0.apk                       25-Oct-2024 20:12    775K
ocaml-otr-0.3.10-r2.apk                            25-Oct-2024 20:12    278K
ocaml-otr-dev-0.3.10-r2.apk                        25-Oct-2024 20:12    519K
ocaml-ounit-2.2.7-r3.apk                           25-Oct-2024 20:12    530K
ocaml-ounit-dev-2.2.7-r3.apk                       25-Oct-2024 20:12      1M
ocaml-parsexp-0.16.0-r0.apk                        25-Oct-2024 20:12    380K
ocaml-parsexp-dev-0.16.0-r0.apk                    25-Oct-2024 20:12    927K
ocaml-pbkdf-1.2.0-r2.apk                           25-Oct-2024 20:12     17K
ocaml-pbkdf-dev-1.2.0-r2.apk                       25-Oct-2024 20:12     25K
ocaml-pcre-7.5.0-r4.apk                            25-Oct-2024 20:12    152K
ocaml-pcre-dev-7.5.0-r4.apk                        25-Oct-2024 20:12    302K
ocaml-ppx_blob-0.8.0-r0.apk                        25-Oct-2024 20:12      3M
ocaml-ppx_blob-dev-0.8.0-r0.apk                    25-Oct-2024 20:12     19K
ocaml-ppx_derivers-1.2.1-r2.apk                    25-Oct-2024 20:12    9752
ocaml-ppx_derivers-dev-1.2.1-r2.apk                25-Oct-2024 20:12     11K
ocaml-ppx_deriving-5.3.0-r0.apk                    25-Oct-2024 20:12      5M
ocaml-ppx_deriving-dev-5.3.0-r0.apk                25-Oct-2024 20:12      1M
ocaml-ppx_sexp_conv-0.16.0-r0.apk                  25-Oct-2024 20:12    560K
ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk              25-Oct-2024 20:12      1M
ocaml-ppxlib-0.32.0-r0.apk                         25-Oct-2024 20:12     12M
ocaml-ppxlib-dev-0.32.0-r0.apk                     25-Oct-2024 20:12     16M
ocaml-psq-0.2.0-r2.apk                             25-Oct-2024 20:12     95K
ocaml-psq-dev-0.2.0-r2.apk                         25-Oct-2024 20:12    171K
ocaml-ptime-1.0.0-r2.apk                           25-Oct-2024 20:12    113K
ocaml-ptime-dev-1.0.0-r2.apk                       25-Oct-2024 20:12     75K
ocaml-ptmap-2.0.5-r3.apk                           25-Oct-2024 20:12     53K
ocaml-ptmap-dev-2.0.5-r3.apk                       25-Oct-2024 20:12     94K
ocaml-qcheck-0.18.1-r3.apk                         25-Oct-2024 20:12    657K
ocaml-qcheck-dev-0.18.1-r3.apk                     25-Oct-2024 20:12      1M
ocaml-qtest-2.11.2-r3.apk                          25-Oct-2024 20:12    321K
ocaml-qtest-dev-2.11.2-r3.apk                      25-Oct-2024 20:12    3696
ocaml-randomconv-0.1.3-r2.apk                      25-Oct-2024 20:12     13K
ocaml-randomconv-dev-0.1.3-r2.apk                  25-Oct-2024 20:12     18K
ocaml-re-1.11.0-r1.apk                             25-Oct-2024 20:12    522K
ocaml-re-dev-1.11.0-r1.apk                         25-Oct-2024 20:12    970K
ocaml-react-1.2.2-r2.apk                           25-Oct-2024 20:12    280K
ocaml-react-dev-1.2.2-r2.apk                       25-Oct-2024 20:12    193K
ocaml-reason-3.8.2-r1.apk                          25-Oct-2024 20:12     15M
ocaml-reason-dev-3.8.2-r1.apk                      25-Oct-2024 20:12     28M
ocaml-result-1.5-r2.apk                            25-Oct-2024 20:12    9406
ocaml-result-dev-1.5-r2.apk                        25-Oct-2024 20:12    8245
ocaml-rresult-0.7.0-r2.apk                         25-Oct-2024 20:12     38K
ocaml-rresult-dev-0.7.0-r2.apk                     25-Oct-2024 20:12     35K
ocaml-sedlex-3.2-r0.apk                            25-Oct-2024 20:12      4M
ocaml-sedlex-dev-3.2-r0.apk                        25-Oct-2024 20:12      1M
ocaml-seq-0.3.1-r2.apk                             25-Oct-2024 20:12     14K
ocaml-seq-dev-0.3.1-r2.apk                         25-Oct-2024 20:12     20K
ocaml-sexplib-0.16.0-r0.apk                        25-Oct-2024 20:12    483K
ocaml-sexplib-dev-0.16.0-r0.apk                    25-Oct-2024 20:12    820K
ocaml-sexplib0-0.16.0-r0.apk                       25-Oct-2024 20:12    169K
ocaml-sexplib0-dev-0.16.0-r0.apk                   25-Oct-2024 20:12    335K
ocaml-sha-1.15.4-r0.apk                            25-Oct-2024 20:12     76K
ocaml-sha-dev-1.15.4-r0.apk                        25-Oct-2024 20:12    243K
ocaml-ssl-0.7.0-r0.apk                             25-Oct-2024 20:12     96K
ocaml-ssl-dev-0.7.0-r0.apk                         25-Oct-2024 20:12    204K
ocaml-stdlib-shims-0.3.0-r2.apk                    25-Oct-2024 20:12    4295
ocaml-stk-0.1.0-r0.apk                             25-Oct-2024 20:12      6M
ocaml-stk-dev-0.1.0-r0.apk                         25-Oct-2024 20:12     10M
ocaml-stringext-1.6.0-r2.apk                       25-Oct-2024 20:12     42K
ocaml-stringext-dev-1.6.0-r2.apk                   25-Oct-2024 20:12     77K
ocaml-tcpip-7.1.2-r3.apk                           25-Oct-2024 20:12      1M
ocaml-tcpip-dev-7.1.2-r3.apk                       25-Oct-2024 20:12      2M
ocaml-tls-0.15.3-r4.apk                            25-Oct-2024 20:12      1M
ocaml-tls-dev-0.15.3-r4.apk                        25-Oct-2024 20:12      2M
ocaml-tophide-1.0.4-r2.apk                         25-Oct-2024 20:12    5788
ocaml-topkg-1.0.5-r2.apk                           25-Oct-2024 20:12    604K
ocaml-topkg-dev-1.0.5-r2.apk                       25-Oct-2024 20:12    398K
ocaml-trie-1.0.0-r2.apk                            25-Oct-2024 20:12     16K
ocaml-trie-dev-1.0.0-r2.apk                        25-Oct-2024 20:12     25K
ocaml-tsdl-1.0.0-r0.apk                            25-Oct-2024 20:12    903K
ocaml-tsdl-dev-1.0.0-r0.apk                        25-Oct-2024 20:12    549K
ocaml-tsdl-image-0.6-r0.apk                        25-Oct-2024 20:12     47K
ocaml-tsdl-image-dev-0.6-r0.apk                    25-Oct-2024 20:12     76K
ocaml-tsdl-ttf-0.6-r0.apk                          25-Oct-2024 20:12     62K
ocaml-tsdl-ttf-dev-0.6-r0.apk                      25-Oct-2024 20:12    107K
ocaml-uri-4.2.0-r2.apk                             25-Oct-2024 20:12      1M
ocaml-uri-dev-4.2.0-r2.apk                         25-Oct-2024 20:12      4M
ocaml-utop-2.9.1-r4.apk                            25-Oct-2024 20:12    349K
ocaml-utop-dev-2.9.1-r4.apk                        25-Oct-2024 20:12    763K
ocaml-uucd-14.0.0-r2.apk                           25-Oct-2024 20:12    271K
ocaml-uucd-dev-14.0.0-r2.apk                       25-Oct-2024 20:12    161K
ocaml-uucp-14.0.0-r2.apk                           25-Oct-2024 20:12      5M
ocaml-uucp-dev-14.0.0-r2.apk                       25-Oct-2024 20:12      1M
ocaml-uuidm-0.9.8-r2.apk                           25-Oct-2024 20:12     44K
ocaml-uuidm-dev-0.9.8-r2.apk                       25-Oct-2024 20:12     24K
ocaml-uuidm-tools-0.9.8-r2.apk                     25-Oct-2024 20:12    430K
ocaml-uunf-14.0.0-r2.apk                           25-Oct-2024 20:12      1M
ocaml-uunf-dev-14.0.0-r2.apk                       25-Oct-2024 20:12    189K
ocaml-uuseg-14.0.0-r2.apk                          25-Oct-2024 20:12     92K
ocaml-uuseg-dev-14.0.0-r2.apk                      25-Oct-2024 20:12     48K
ocaml-uuseg-tools-14.0.0-r2.apk                    25-Oct-2024 20:12      1M
ocaml-uutf-1.0.3-r2.apk                            25-Oct-2024 20:12    605K
ocaml-uutf-dev-1.0.3-r2.apk                        25-Oct-2024 20:12     54K
ocaml-x509-0.16.0-r2.apk                           25-Oct-2024 20:12    818K
ocaml-x509-dev-0.16.0-r2.apk                       25-Oct-2024 20:12      2M
ocaml-xml-light-2.5-r0.apk                         25-Oct-2024 20:12    214K
ocaml-xml-light-dev-2.5-r0.apk                     25-Oct-2024 20:12    383K
ocaml-xmlm-1.4.0-r2.apk                            25-Oct-2024 20:12    544K
ocaml-xmlm-dev-1.4.0-r2.apk                        25-Oct-2024 20:12     96K
ocaml-xtmpl-0.19.0-r0.apk                          25-Oct-2024 20:12      8M
ocaml-xtmpl-dev-0.19.0-r0.apk                      25-Oct-2024 20:12    772K
ocaml-yojson-2.1.2-r0.apk                          25-Oct-2024 20:12      1M
ocaml-yojson-dev-2.1.2-r0.apk                      25-Oct-2024 20:12      2M
ocaml-zed-3.1.0-r3.apk                             25-Oct-2024 20:12    512K
ocaml-zed-dev-3.1.0-r3.apk                         25-Oct-2024 20:12      1M
ocaml5-ctypes-0.23.0-r0.apk                        13-Apr-2025 07:41      2M
ocaml5-ctypes-doc-0.23.0-r0.apk                    13-Apr-2025 07:41     11K
ocaml5-integers-0.7.0-r0.apk                       13-Apr-2025 07:41    329K
ocaml5-integers-doc-0.7.0-r0.apk                   13-Apr-2025 07:41    3991
ocamlnet-4.1.9-r2.apk                              25-Oct-2024 20:12     16M
ocamlnet-dev-4.1.9-r2.apk                          25-Oct-2024 20:12      5M
ocamlnet-tcl-4.1.9-r2.apk                          25-Oct-2024 20:12     50K
ocfs2-tools-1.8.7-r4.apk                           25-Oct-2024 20:12      1M
ocfs2-tools-dev-1.8.7-r4.apk                       25-Oct-2024 20:12     45K
ocfs2-tools-doc-1.8.7-r4.apk                       25-Oct-2024 20:12     69K
ocp-indent-1.8.2-r2.apk                            25-Oct-2024 20:12      1M
ocp-indent-doc-1.8.2-r2.apk                        25-Oct-2024 20:12     17K
ocp-indent-emacs-1.8.2-r2.apk                      25-Oct-2024 20:12    3817
ocp-indent-vim-1.8.2-r2.apk                        25-Oct-2024 20:12    2475
ocp-index-1.3.6-r0.apk                             25-Oct-2024 20:12      5M
ocp-index-doc-1.3.6-r0.apk                         25-Oct-2024 20:12     31K
ocp-index-emacs-1.3.6-r0.apk                       25-Oct-2024 20:12    6445
ocp-index-vim-1.3.6-r0.apk                         25-Oct-2024 20:12    3134
octoprint-1.10.3-r0.apk                            25-Dec-2024 22:04      3M
octoprint-creality2xfix-0.0.4-r2.apk               25-Oct-2024 20:12    4823
octoprint-creality2xfix-pyc-0.0.4-r2.apk           25-Oct-2024 20:12    3412
octoprint-filecheck-2024.3.27-r1.apk               25-Oct-2024 20:12     28K
octoprint-filecheck-pyc-2024.3.27-r1.apk           25-Oct-2024 20:12     11K
octoprint-firmwarecheck-2021.10.11-r2.apk          25-Oct-2024 20:12     29K
octoprint-firmwarecheck-pyc-2021.10.11-r2.apk      25-Oct-2024 20:12     18K
octoprint-openrc-1.10.3-r0.apk                     25-Dec-2024 22:04    1748
octoprint-pisupport-2023.10.10-r1.apk              25-Oct-2024 20:12     31K
octoprint-pisupport-pyc-2023.10.10-r1.apk          25-Oct-2024 20:12     13K
octoprint-pyc-1.10.3-r0.apk                        25-Dec-2024 22:04      1M
ode-0.16.6-r0.apk                                  19-Jan-2025 11:55    596K
odio-sacd-24.8.1-r0.apk                            13-Apr-2025 07:59    5430
odio-sacd-doc-24.8.1-r0.apk                        13-Apr-2025 07:59    2827
odyssey-1.3-r3.apk                                 25-Oct-2024 20:12    127K
odyssey-openrc-1.3-r3.apk                          25-Oct-2024 20:12    2071
oil-0.21.0-r0.apk                                  25-Oct-2024 20:12      1M
oil-doc-0.21.0-r0.apk                              25-Oct-2024 20:12    7162
oils-for-unix-0.28.0-r0.apk                        20-Mar-2025 03:18    656K
oils-for-unix-bash-0.28.0-r0.apk                   20-Mar-2025 03:18    1495
oils-for-unix-binsh-0.28.0-r0.apk                  20-Mar-2025 03:18    1498
oils-for-unix-doc-0.28.0-r0.apk                    20-Mar-2025 03:18    7126
ol-2.4-r0.apk                                      25-Oct-2024 20:12    993K
ol-doc-2.4-r0.apk                                  25-Oct-2024 20:12    2638
olab-0.1.8-r0.apk                                  25-Oct-2024 20:12      3M
olsrd-0.9.8-r3.apk                                 25-Oct-2024 20:12    165K
olsrd-doc-0.9.8-r3.apk                             25-Oct-2024 20:12     25K
olsrd-openrc-0.9.8-r3.apk                          25-Oct-2024 20:12    1920
olsrd-plugins-0.9.8-r3.apk                         25-Oct-2024 20:12    176K
onioncat-4.11.0-r1.apk                             25-Oct-2024 20:12     64K
onioncat-doc-4.11.0-r1.apk                         25-Oct-2024 20:12     19K
oo7-0.4.3-r1.apk                                   20-Apr-2025 20:35      1M
oo7-doc-0.4.3-r1.apk                               20-Apr-2025 20:35    2342
oo7-portal-0.4.3-r1.apk                            20-Apr-2025 20:35      1M
oo7-portal-openrc-0.4.3-r1.apk                     20-Apr-2025 20:35    1785
oo7-server-0.4.3-r1.apk                            20-Apr-2025 20:35      1M
oo7-server-openrc-0.4.3-r1.apk                     20-Apr-2025 20:35    1757
opcr-policy-0.3.0-r3.apk                           12-Apr-2025 03:09      9M
openapi-tui-0.9.4-r1.apk                           25-Oct-2024 20:12      4M
openapi-validator-1.19.2-r0.apk                    25-Oct-2024 20:12     10M
opendht-3.1.11-r0.apk                              27-Jan-2025 20:56    199K
opendht-dev-3.1.11-r0.apk                          27-Jan-2025 20:56     71K
opendht-doc-3.1.11-r0.apk                          27-Jan-2025 20:56    3096
opendht-libs-3.1.11-r0.apk                         27-Jan-2025 20:56    633K
openfortivpn-1.22.1-r0.apk                         11-Dec-2024 21:31     41K
openfortivpn-doc-1.22.1-r0.apk                     11-Dec-2024 21:31    6448
openfpgaloader-0.11.0-r0.apk                       25-Oct-2024 20:12      2M
openocd-esp32-0_git20250226-r0.apk                 19-Mar-2025 11:46      2M
openocd-esp32-dev-0_git20250226-r0.apk             19-Mar-2025 11:46    3684
openocd-esp32-doc-0_git20250226-r0.apk             19-Mar-2025 11:46    3229
openocd-esp32-udev-rules-0_git20250226-r0.apk      19-Mar-2025 11:46    3510
openocd-git-0_git20240113-r1.apk                   25-Oct-2024 20:12      2M
openocd-git-cmd-openocd-0_git20240113-r1.apk       25-Oct-2024 20:12    1539
openocd-git-dbg-0_git20240113-r1.apk               25-Oct-2024 20:12      4M
openocd-git-dev-0_git20240113-r1.apk               25-Oct-2024 20:12    3496
openocd-git-doc-0_git20240113-r1.apk               25-Oct-2024 20:12    3375
openocd-git-udev-rules-0_git20240113-r1.apk        25-Oct-2024 20:12    3496
openocd-riscv-0_git20230104-r2.apk                 25-Oct-2024 20:12      1M
openocd-riscv-dev-0_git20230104-r2.apk             25-Oct-2024 20:12    3813
openocd-riscv-doc-0_git20230104-r2.apk             25-Oct-2024 20:12    3369
openocd-riscv-udev-rules-0_git20230104-r2.apk      25-Oct-2024 20:12    3350
openscap-daemon-0.1.10-r9.apk                      25-Oct-2024 20:12     60K
openscap-daemon-doc-0.1.10-r9.apk                  25-Oct-2024 20:12     18K
openscap-daemon-pyc-0.1.10-r9.apk                  25-Oct-2024 20:12    102K
openslide-3.4.1-r3.apk                             25-Oct-2024 20:12     89K
openslide-dev-3.4.1-r3.apk                         25-Oct-2024 20:12    7045
openslide-doc-3.4.1-r3.apk                         25-Oct-2024 20:12    5068
openslide-tools-3.4.1-r3.apk                       25-Oct-2024 20:12    9697
opensm-3.3.24-r2.apk                               25-Oct-2024 20:12    465K
opensm-dev-3.3.24-r2.apk                           25-Oct-2024 20:12    219K
opensm-doc-3.3.24-r2.apk                           25-Oct-2024 20:12     38K
opensm-openrc-3.3.24-r2.apk                        25-Oct-2024 20:12    2901
openspades-0.1.3-r5.apk                            25-Oct-2024 20:12     11M
openspades-doc-0.1.3-r5.apk                        25-Oct-2024 20:12     20K
openswitcher-0.5.0-r4.apk                          25-Oct-2024 20:12    148K
openswitcher-proxy-0.5.0-r4.apk                    25-Oct-2024 20:12    9606
openswitcher-proxy-openrc-0.5.0-r4.apk             25-Oct-2024 20:12    2085
opentelemetry-cpp-1.19.0-r2.apk                    12-Apr-2025 03:09    619K
opentelemetry-cpp-dev-1.19.0-r2.apk                12-Apr-2025 03:09    494K
opentelemetry-cpp-exporter-otlp-common-1.19.0-r..> 12-Apr-2025 03:09     46K
opentelemetry-cpp-exporter-otlp-grpc-1.19.0-r2.apk 12-Apr-2025 03:09     58K
opentelemetry-cpp-exporter-otlp-http-1.19.0-r2.apk 12-Apr-2025 03:09     89K
opentelemetry-cpp-exporter-zipkin-1.19.0-r2.apk    12-Apr-2025 03:09     51K
openvpn3-3.8.5-r1.apk                              17-Feb-2025 09:34    413K
openvpn3-dev-3.8.5-r1.apk                          17-Feb-2025 09:34    667K
openwsman-2.8.1-r0.apk                             13-Feb-2025 07:46     47K
openwsman-dev-2.8.1-r0.apk                         13-Feb-2025 07:46     56K
openwsman-doc-2.8.1-r0.apk                         13-Feb-2025 07:46    2398
openwsman-libs-2.8.1-r0.apk                        13-Feb-2025 07:46    323K
opkg-0.7.0-r0.apk                                  25-Oct-2024 20:12     10K
opkg-dev-0.7.0-r0.apk                              25-Oct-2024 20:12    106K
opkg-doc-0.7.0-r0.apk                              25-Oct-2024 20:12    7832
opkg-libs-0.7.0-r0.apk                             25-Oct-2024 20:12     80K
opkg-utils-0.7.0-r0.apk                            25-Oct-2024 20:12     25K
opkg-utils-doc-0.7.0-r0.apk                        25-Oct-2024 20:12    3704
opmsg-1.84-r1.apk                                  25-Oct-2024 20:12    304K
orage-4.20.1-r0.apk                                08-Apr-2025 11:49    576K
orage-lang-4.20.1-r0.apk                           08-Apr-2025 11:49      1M
os-installer-0.4.3-r0.apk                          19-Mar-2025 11:46     83K
osmctools-0.9-r0.apk                               25-Oct-2024 20:12    123K
ostui-1.0.3-r1.apk                                 12-Apr-2025 03:09      5M
ostui-doc-1.0.3-r1.apk                             12-Apr-2025 03:09     28K
otf-atkinson-hyperlegible-2020.0514-r1.apk         25-Oct-2024 20:12    102K
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk     25-Oct-2024 20:12     48K
otpclient-4.0.2-r0.apk                             25-Oct-2024 20:12    135K
otpclient-doc-4.0.2-r0.apk                         25-Oct-2024 20:12    3729
otrs-6.0.48-r2.apk                                 25-Oct-2024 20:12     29M
otrs-apache2-6.0.48-r2.apk                         25-Oct-2024 20:12    4126
otrs-bash-completion-6.0.48-r2.apk                 25-Oct-2024 20:12    2463
otrs-dev-6.0.48-r2.apk                             25-Oct-2024 20:12      4M
otrs-doc-6.0.48-r2.apk                             25-Oct-2024 20:12    795K
otrs-fastcgi-6.0.48-r2.apk                         25-Oct-2024 20:12    1810
otrs-nginx-6.0.48-r2.apk                           25-Oct-2024 20:12    1835
otrs-openrc-6.0.48-r2.apk                          25-Oct-2024 20:12    1941
otrs-setup-6.0.48-r2.apk                           25-Oct-2024 20:12    107K
ouch-0.5.1-r0.apk                                  25-Oct-2024 20:12      1M
ouch-bash-completion-0.5.1-r0.apk                  25-Oct-2024 20:12    2353
ouch-doc-0.5.1-r0.apk                              25-Oct-2024 20:12    3754
ouch-fish-completion-0.5.1-r0.apk                  25-Oct-2024 20:12    2428
ouch-zsh-completion-0.5.1-r0.apk                   25-Oct-2024 20:12    2934
ovn-24.03.1-r0.apk                                 25-Oct-2024 20:12      8M
ovn-dbg-24.03.1-r0.apk                             25-Oct-2024 20:12     23M
ovn-dev-24.03.1-r0.apk                             25-Oct-2024 20:12      9M
ovn-doc-24.03.1-r0.apk                             25-Oct-2024 20:12    512K
ovn-openrc-24.03.1-r0.apk                          25-Oct-2024 20:12    4248
ovos-audio-0.3.1-r0.apk                            21-Nov-2024 13:32    136K
ovos-audio-pyc-0.3.1-r0.apk                        21-Nov-2024 13:32     36K
ovos-core-1.2.3-r0.apk                             08-Apr-2025 07:43     50K
ovos-core-pyc-1.2.3-r0.apk                         08-Apr-2025 07:43     63K
ovos-gui-1.1.0-r0.apk                              25-Nov-2024 12:41     31K
ovos-gui-pyc-1.1.0-r0.apk                          25-Nov-2024 12:41     38K
ovos-messagebus-0.0.10-r0.apk                      08-Apr-2025 07:43     10K
ovos-messagebus-pyc-0.0.10-r0.apk                  08-Apr-2025 07:43    6808
ovos-phal-0.2.7-r0.apk                             21-Nov-2024 13:32     10K
ovos-phal-pyc-0.2.7-r0.apk                         21-Nov-2024 13:32    7348
ovos-skill-hello-world-0.0.4_alpha3-r1.apk         25-Oct-2024 20:12     46K
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk     25-Oct-2024 20:12    4062
ovpncc-0.1_rc1-r0.apk                              25-Oct-2024 20:12     12K
ovpncc-doc-0.1_rc1-r0.apk                          25-Oct-2024 20:12    6672
oxygen-icons-6.1.0-r0.apk                          25-Oct-2024 20:12     32M
p0f-3.09b-r3.apk                                   25-Oct-2024 20:12     75K
p0f-doc-3.09b-r3.apk                               25-Oct-2024 20:12     25K
p910nd-0.97-r2.apk                                 25-Oct-2024 20:12    8947
p910nd-doc-0.97-r2.apk                             25-Oct-2024 20:12    3057
p910nd-openrc-0.97-r2.apk                          25-Oct-2024 20:12    1881
pacoloco-1.7-r0.apk                                19-Apr-2025 19:01      5M
pacoloco-doc-1.7-r0.apk                            19-Apr-2025 19:01    2291
pacoloco-openrc-1.7-r0.apk                         19-Apr-2025 19:01    1910
pacparser-1.4.5-r1.apk                             25-Oct-2024 20:12    707K
pacparser-dev-1.4.5-r1.apk                         25-Oct-2024 20:12    3682
pacparser-doc-1.4.5-r1.apk                         25-Oct-2024 20:12     18K
pam-krb5-4.11-r1.apk                               25-Oct-2024 20:12     23K
pam-krb5-doc-4.11-r1.apk                           25-Oct-2024 20:12     24K
pam_sqlite3-1.0.2-r2.apk                           25-Oct-2024 20:12    9053
pamtester-0.1.2-r4.apk                             25-Oct-2024 20:12    9266
pamtester-doc-0.1.2-r4.apk                         25-Oct-2024 20:12    2957
pantalaimon-0.10.5-r4.apk                          25-Oct-2024 20:12     45K
pantalaimon-doc-0.10.5-r4.apk                      25-Oct-2024 20:12    6534
pantalaimon-pyc-0.10.5-r4.apk                      25-Oct-2024 20:12     83K
pantalaimon-ui-0.10.5-r4.apk                       25-Oct-2024 20:12    1762
paperde-0.2.1-r2.apk                               25-Oct-2024 20:12    672K
paperde-dev-0.2.1-r2.apk                           25-Oct-2024 20:12    5282
paperkey-1.6-r2.apk                                25-Oct-2024 20:12     16K
paperkey-doc-1.6-r2.apk                            25-Oct-2024 20:12    4618
paprefs-1.2-r2.apk                                 22-Nov-2024 22:04     33K
paprefs-lang-1.2-r2.apk                            22-Nov-2024 22:04     38K
par-1.53.0-r1.apk                                  25-Oct-2024 20:12     14K
par-doc-1.53.0-r1.apk                              25-Oct-2024 20:12     30K
par2cmdline-turbo-1.2.0-r0.apk                     10-Dec-2024 16:43    280K
par2cmdline-turbo-doc-1.2.0-r0.apk                 10-Dec-2024 16:43    5476
parcellite-1.2.5-r0.apk                            25-Oct-2024 20:12    225K
parcellite-doc-1.2.5-r0.apk                        25-Oct-2024 20:12     25K
parcellite-lang-1.2.5-r0.apk                       25-Oct-2024 20:12     49K
pari-2.17.2-r0.apk                                 06-Mar-2025 05:56    584K
pari-dev-2.17.2-r0.apk                             06-Mar-2025 05:56    106K
pari-doc-2.17.2-r0.apk                             06-Mar-2025 05:56    924K
pari-libs-2.17.2-r0.apk                            06-Mar-2025 05:56      4M
parse-changelog-0.6.8-r0.apk                       25-Oct-2024 20:12    520K
pash-2.3.0-r2.apk                                  25-Oct-2024 20:12    4388
pass2csv-1.1.1-r1.apk                              25-Oct-2024 20:12    8566
pass2csv-pyc-1.1.1-r1.apk                          25-Oct-2024 20:12    7652
passage-1.7.4_alpha2-r0.apk                        24-Dec-2024 11:03    8252
passage-bash-completion-1.7.4_alpha2-r0.apk        24-Dec-2024 11:03    3033
passage-fish-completion-1.7.4_alpha2-r0.apk        24-Dec-2024 11:03    2778
passage-zsh-completion-1.7.4_alpha2-r0.apk         24-Dec-2024 11:03    3036
pastel-0.10.0-r0.apk                               25-Oct-2024 20:12    439K
pastel-bash-completion-0.10.0-r0.apk               25-Oct-2024 20:12    3097
pastel-fish-completion-0.10.0-r0.apk               25-Oct-2024 20:12    3307
pastel-zsh-completion-0.10.0-r0.apk                25-Oct-2024 20:12    4988
pasystray-0.8.2-r0.apk                             25-Oct-2024 20:12     46K
pasystray-doc-0.8.2-r0.apk                         25-Oct-2024 20:12    3412
pcem-17-r2.apk                                     25-Oct-2024 20:12      2M
pdal-python-plugins-1.6.2-r0.apk                   13-Jan-2025 06:34    270K
pdf2svg-0.2.3-r1.apk                               25-Oct-2024 20:12    5002
pdfcpu-0.9.1-r4.apk                                12-Apr-2025 03:09      5M
pdfcrack-0.20-r0.apk                               25-Oct-2024 20:12     25K
pebble-le-0.3.0-r2.apk                             14-Dec-2024 19:46     66K
pebble-le-dev-0.3.0-r2.apk                         14-Dec-2024 19:46     40K
pebble-le-doc-0.3.0-r2.apk                         14-Dec-2024 19:46    3770
peervpn-0.044-r5.apk                               25-Oct-2024 20:12     43K
peervpn-openrc-0.044-r5.apk                        25-Oct-2024 20:12    1827
peg-0.1.18-r1.apk                                  25-Oct-2024 20:12     40K
peg-doc-0.1.18-r1.apk                              25-Oct-2024 20:12     14K
pegasus-frontend-16_alpha-r0.apk                   25-Oct-2024 20:12      1M
pegasus-frontend-doc-16_alpha-r0.apk               25-Oct-2024 20:12     16K
percona-toolkit-3.5.4-r1.apk                       25-Oct-2024 20:12      2M
percona-toolkit-doc-3.5.4-r1.apk                   25-Oct-2024 20:12    298K
perl-adapter-async-0.019-r0.apk                    25-Oct-2024 20:12    8331
perl-adapter-async-doc-0.019-r0.apk                25-Oct-2024 20:12     17K
perl-algorithm-backoff-0.010-r0.apk                25-Oct-2024 20:12    9817
perl-algorithm-backoff-doc-0.010-r0.apk            25-Oct-2024 20:12     29K
perl-algorithm-c3-0.11-r1.apk                      25-Oct-2024 20:12    5793
perl-algorithm-c3-doc-0.11-r1.apk                  25-Oct-2024 20:12    5222
perl-algorithm-cron-0.10-r4.apk                    25-Oct-2024 20:12    6257
perl-algorithm-cron-doc-0.10-r4.apk                25-Oct-2024 20:12    4705
perl-algorithm-evolutionary-0.82.1-r0.apk          25-Oct-2024 20:12     79K
perl-algorithm-evolutionary-doc-0.82.1-r0.apk      25-Oct-2024 20:12    148K
perl-algorithm-permute-0.17-r0.apk                 25-Oct-2024 20:12     13K
perl-algorithm-permute-doc-0.17-r0.apk             25-Oct-2024 20:12    5153
perl-aliased-0.34-r4.apk                           25-Oct-2024 20:12    5799
perl-aliased-doc-0.34-r4.apk                       25-Oct-2024 20:12    5806
perl-anyevent-dns-etchosts-0.0105-r0.apk           25-Oct-2024 20:12    5231
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk       25-Oct-2024 20:12    4080
perl-anyevent-future-0.05-r0.apk                   25-Oct-2024 20:12    5288
perl-anyevent-future-doc-0.05-r0.apk               25-Oct-2024 20:12    5557
perl-anyevent-riperedis-0.48-r0.apk                25-Oct-2024 20:12     12K
perl-anyevent-riperedis-doc-0.48-r0.apk            25-Oct-2024 20:12     10K
perl-archive-extract-0.88-r1.apk                   25-Oct-2024 20:12     16K
perl-archive-extract-doc-0.88-r1.apk               25-Oct-2024 20:12    6994
perl-autobox-3.0.2-r0.apk                          25-Oct-2024 20:12     19K
perl-autobox-doc-3.0.2-r0.apk                      25-Oct-2024 20:12    9058
perl-b-hooks-op-check-0.22-r0.apk                  25-Oct-2024 20:12    6986
perl-b-hooks-op-check-doc-0.22-r0.apk              25-Oct-2024 20:12    3795
perl-barcode-zbar-0.10-r3.apk                      25-Oct-2024 20:12     31K
perl-barcode-zbar-doc-0.10-r3.apk                  25-Oct-2024 20:12     13K
perl-bareword-filehandles-0.007-r0.apk             25-Oct-2024 20:12    6270
perl-bareword-filehandles-doc-0.007-r0.apk         25-Oct-2024 20:12    3250
perl-bind-config-parser-0.01-r5.apk                25-Oct-2024 20:12    3968
perl-bind-config-parser-doc-0.01-r5.apk            25-Oct-2024 20:12    3712
perl-bsd-resource-1.2911-r10.apk                   25-Oct-2024 20:12     20K
perl-bsd-resource-doc-1.2911-r10.apk               25-Oct-2024 20:12    8044
perl-bytes-random-secure-0.29-r0.apk               25-Oct-2024 20:12     14K
perl-bytes-random-secure-doc-0.29-r0.apk           25-Oct-2024 20:12     12K
perl-cache-lru-0.04-r0.apk                         25-Oct-2024 20:12    3038
perl-cache-lru-doc-0.04-r0.apk                     25-Oct-2024 20:12    3301
perl-cairo-1.109-r4.apk                            25-Oct-2024 20:12     82K
perl-cairo-doc-1.109-r4.apk                        25-Oct-2024 20:12     14K
perl-cairo-gobject-1.005-r4.apk                    25-Oct-2024 20:12    6989
perl-cairo-gobject-doc-1.005-r4.apk                25-Oct-2024 20:12    3019
perl-carp-assert-more-2.9.0-r0.apk                 13-Apr-2025 14:57    9186
perl-carp-assert-more-doc-2.9.0-r0.apk             13-Apr-2025 14:57    7973
perl-catalyst-action-renderview-0.17-r0.apk        19-Mar-2025 14:53    3891
perl-catalyst-action-renderview-doc-0.17-r0.apk    19-Mar-2025 14:53    4140
perl-catalyst-action-rest-1.21-r0.apk              01-Apr-2025 05:45     25K
perl-catalyst-action-rest-doc-1.21-r0.apk          01-Apr-2025 05:45     27K
perl-catalyst-authentication-credential-http-1...> 04-Apr-2025 05:40    8813
perl-catalyst-authentication-credential-http-do..> 04-Apr-2025 05:40    6919
perl-catalyst-controller-actionrole-0.17-r0.apk    04-Apr-2025 05:40    5102
perl-catalyst-controller-actionrole-doc-0.17-r0..> 04-Apr-2025 05:40    4550
perl-catalyst-devel-1.42-r0.apk                    20-Mar-2025 16:04     54K
perl-catalyst-devel-doc-1.42-r0.apk                20-Mar-2025 16:04     11K
perl-catalyst-manual-5.9013-r0.apk                 04-Apr-2025 05:22    2750
perl-catalyst-manual-doc-5.9013-r0.apk             04-Apr-2025 05:22    375K
perl-catalyst-model-adaptor-0.10-r0.apk            13-Apr-2025 14:57    6638
perl-catalyst-model-adaptor-doc-0.10-r0.apk        13-Apr-2025 14:57     12K
perl-catalyst-plugin-authentication-0.10024-r0.apk 29-Mar-2025 15:58     32K
perl-catalyst-plugin-authentication-doc-0.10024..> 29-Mar-2025 15:58     56K
perl-catalyst-plugin-configloader-0.35-r0.apk      20-Mar-2025 16:04    5516
perl-catalyst-plugin-configloader-doc-0.35-r0.apk  20-Mar-2025 16:04     10K
perl-catalyst-plugin-i18n-0.10-r0.apk              04-Apr-2025 08:55    4223
perl-catalyst-plugin-i18n-doc-0.10-r0.apk          04-Apr-2025 08:55     13K
perl-catalyst-plugin-session-0.43-r0.apk           04-Apr-2025 11:57     14K
perl-catalyst-plugin-session-doc-0.43-r0.apk       04-Apr-2025 11:57     25K
perl-catalyst-plugin-session-state-cookie-0.18-..> 20-Apr-2025 04:32    4968
perl-catalyst-plugin-session-state-cookie-doc-0..> 20-Apr-2025 04:32    4963
perl-catalyst-plugin-static-simple-0.37-r0.apk     20-Mar-2025 16:04    8903
perl-catalyst-plugin-static-simple-doc-0.37-r0.apk 20-Mar-2025 16:04    7588
perl-catalyst-runtime-5.90132-r0.apk               19-Mar-2025 11:46    150K
perl-catalyst-runtime-doc-5.90132-r0.apk           19-Mar-2025 11:46    216K
perl-catalyst-view-email-0.36-r0.apk               04-Apr-2025 08:55    9298
perl-catalyst-view-email-doc-0.36-r0.apk           04-Apr-2025 08:55     11K
perl-catalyst-view-tt-0.46-r0.apk                  12-Apr-2025 15:51     14K
perl-catalyst-view-tt-doc-0.46-r0.apk              12-Apr-2025 15:51     13K
perl-cgi-expand-2.05-r4.apk                        25-Oct-2024 20:12    7042
perl-cgi-expand-doc-2.05-r4.apk                    25-Oct-2024 20:12    6314
perl-cgi-simple-1.281-r0.apk                       12-Mar-2025 14:01     56K
perl-cgi-simple-doc-1.281-r0.apk                   12-Mar-2025 14:01     43K
perl-cgi-struct-1.21-r0.apk                        14-Mar-2025 16:31    8021
perl-cgi-struct-doc-1.21-r0.apk                    14-Mar-2025 16:31    6942
perl-check-unitcheck-0.13-r1.apk                   25-Oct-2024 20:12    6357
perl-check-unitcheck-doc-0.13-r1.apk               25-Oct-2024 20:12    3641
perl-class-accessor-grouped-0.10014-r2.apk         25-Oct-2024 20:12     12K
perl-class-accessor-grouped-doc-0.10014-r2.apk     25-Oct-2024 20:12    7658
perl-class-c3-0.35-r1.apk                          25-Oct-2024 20:12    9690
perl-class-c3-adopt-next-0.14-r0.apk               14-Mar-2025 16:31    5204
perl-class-c3-adopt-next-doc-0.14-r0.apk           14-Mar-2025 16:31    4787
perl-class-c3-componentised-1.001002-r2.apk        25-Oct-2024 20:12    5658
perl-class-c3-componentised-doc-1.001002-r2.apk    25-Oct-2024 20:12    5467
perl-class-c3-doc-0.35-r1.apk                      25-Oct-2024 20:12    9507
perl-class-inner-0.200001-r5.apk                   25-Oct-2024 20:12    4046
perl-class-inner-doc-0.200001-r5.apk               25-Oct-2024 20:12    4214
perl-cli-osprey-0.08-r0.apk                        28-Dec-2024 04:54     13K
perl-cli-osprey-doc-0.08-r0.apk                    28-Dec-2024 04:54     12K
perl-color-ansi-util-0.165-r0.apk                  25-Oct-2024 20:12    7459
perl-color-ansi-util-doc-0.165-r0.apk              25-Oct-2024 20:12    5284
perl-color-rgb-util-0.609-r0.apk                   19-Mar-2025 11:46    9684
perl-color-rgb-util-doc-0.609-r0.apk               19-Mar-2025 11:46    7538
perl-conf-libconfig-1.0.3-r0.apk                   25-Oct-2024 20:12     26K
perl-conf-libconfig-doc-1.0.3-r0.apk               25-Oct-2024 20:12    5572
perl-constant-defer-6-r5.apk                       25-Oct-2024 20:12    7579
perl-constant-defer-doc-6-r5.apk                   25-Oct-2024 20:12    7119
perl-constant-generate-0.17-r5.apk                 25-Oct-2024 20:12    8964
perl-constant-generate-doc-0.17-r5.apk             25-Oct-2024 20:12    7217
perl-context-preserve-0.03-r4.apk                  25-Oct-2024 20:12    3959
perl-context-preserve-doc-0.03-r4.apk              25-Oct-2024 20:12    4320
perl-cpan-changes-0.500004-r0.apk                  25-Oct-2024 20:12     14K
perl-cpan-changes-doc-0.500004-r0.apk              25-Oct-2024 20:12     18K
perl-crypt-random-seed-0.03-r0.apk                 25-Oct-2024 20:12     11K
perl-crypt-random-seed-doc-0.03-r0.apk             25-Oct-2024 20:12    9019
perl-crypt-saltedhash-0.09-r5.apk                  25-Oct-2024 20:12    7119
perl-crypt-saltedhash-doc-0.09-r5.apk              25-Oct-2024 20:12    6516
perl-css-object-0.2.0-r0.apk                       25-Oct-2024 20:12     23K
perl-css-object-doc-0.2.0-r0.apk                   25-Oct-2024 20:12     33K
perl-daemon-control-0.001010-r2.apk                25-Oct-2024 20:12     12K
perl-daemon-control-doc-0.001010-r2.apk            25-Oct-2024 20:12    8457
perl-dancer-plugin-auth-extensible-1.00-r5.apk     25-Oct-2024 20:12     15K
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk 25-Oct-2024 20:12     15K
perl-dancer-plugin-dbic-0.2104-r5.apk              25-Oct-2024 20:12    5044
perl-dancer-plugin-dbic-doc-0.2104-r5.apk          25-Oct-2024 20:12    5481
perl-dancer-plugin-passphrase-2.0.1-r4.apk         25-Oct-2024 20:12    9936
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk     25-Oct-2024 20:12    8666
perl-dancer-session-cookie-0.30-r2.apk             25-Oct-2024 20:12    5591
perl-dancer-session-cookie-doc-0.30-r2.apk         25-Oct-2024 20:12    4278
perl-dancer2-1.1.2-r0.apk                          28-Dec-2024 04:54    163K
perl-dancer2-doc-1.1.2-r0.apk                      28-Dec-2024 04:54    301K
perl-data-checks-0.10-r0.apk                       25-Oct-2024 20:12     24K
perl-data-checks-doc-0.10-r0.apk                   25-Oct-2024 20:12    8397
perl-data-validate-domain-0.15-r0.apk              25-Oct-2024 20:12    5987
perl-data-validate-domain-doc-0.15-r0.apk          25-Oct-2024 20:12    5608
perl-data-validate-ip-0.31-r1.apk                  25-Oct-2024 20:12    9038
perl-data-validate-ip-doc-0.31-r1.apk              25-Oct-2024 20:12    6001
perl-data-visitor-0.32-r0.apk                      19-Mar-2025 14:53     10K
perl-data-visitor-doc-0.32-r0.apk                  19-Mar-2025 14:53    8511
perl-database-async-0.019-r0.apk                   25-Oct-2024 20:12     23K
perl-database-async-doc-0.019-r0.apk               25-Oct-2024 20:12     29K
perl-database-async-engine-postgresql-1.005-r0.apk 25-Oct-2024 20:12     14K
perl-database-async-engine-postgresql-doc-1.005..> 25-Oct-2024 20:12    9536
perl-datetime-format-atom-1.8.0-r0.apk             05-Jan-2025 15:08    3225
perl-datetime-format-atom-doc-1.8.0-r0.apk         05-Jan-2025 15:08    3858
perl-datetime-format-flexible-0.37-r0.apk          31-Dec-2024 13:26     18K
perl-datetime-format-flexible-doc-0.37-r0.apk      31-Dec-2024 13:26     12K
perl-datetime-format-rfc3339-1.10.0-r0.apk         05-Jan-2025 15:08    4496
perl-datetime-format-rfc3339-doc-1.10.0-r0.apk     05-Jan-2025 15:08    4237
perl-datetime-timezone-alias-0.06-r0.apk           25-Oct-2024 20:12    2599
perl-datetime-timezone-alias-doc-0.06-r0.apk       25-Oct-2024 20:12    7815
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk 25-Oct-2024 20:12     12K
perl-datetime-timezone-catalog-extend-doc-0.3.3..> 25-Oct-2024 20:12     15K
perl-dbicx-sugar-0.0200-r5.apk                     25-Oct-2024 20:12    6031
perl-dbicx-sugar-doc-0.0200-r5.apk                 25-Oct-2024 20:12    5464
perl-dbix-class-0.082844-r0.apk                    16-Jan-2025 17:27    355K
perl-dbix-class-candy-0.005004-r0.apk              30-Oct-2024 06:00    8060
perl-dbix-class-candy-doc-0.005004-r0.apk          30-Oct-2024 06:00    9711
perl-dbix-class-doc-0.082844-r0.apk                16-Jan-2025 17:27    421K
perl-dbix-class-helpers-2.037000-r0.apk            18-Nov-2024 18:00     48K
perl-dbix-class-helpers-doc-2.037000-r0.apk        18-Nov-2024 18:00    121K
perl-dbix-connector-0.60-r0.apk                    30-Dec-2024 06:37     15K
perl-dbix-connector-doc-0.60-r0.apk                30-Dec-2024 06:37     22K
perl-dbix-datasource-0.02-r5.apk                   25-Oct-2024 20:12    4400
perl-dbix-datasource-doc-0.02-r5.apk               25-Oct-2024 20:12    7719
perl-dbix-introspector-0.001005-r4.apk             25-Oct-2024 20:12    8244
perl-dbix-introspector-doc-0.001005-r4.apk         25-Oct-2024 20:12    8665
perl-dbix-lite-0.36-r0.apk                         30-Dec-2024 06:37     18K
perl-dbix-lite-doc-0.36-r0.apk                     30-Dec-2024 06:37     18K
perl-devel-confess-0.009004-r0.apk                 25-Oct-2024 20:12     11K
perl-devel-confess-doc-0.009004-r0.apk             25-Oct-2024 20:12    6813
perl-devel-leak-0.03-r13.apk                       25-Oct-2024 20:12    7344
perl-devel-leak-doc-0.03-r13.apk                   25-Oct-2024 20:12    3361
perl-devel-refcount-0.10-r1.apk                    25-Oct-2024 20:12    6455
perl-devel-refcount-doc-0.10-r1.apk                25-Oct-2024 20:12    4347
perl-devel-repl-1.003029-r0.apk                    24-Apr-2025 14:50     28K
perl-devel-repl-doc-1.003029-r0.apk                24-Apr-2025 14:50     60K
perl-digest-bcrypt-1.212-r1.apk                    25-Oct-2024 20:12    5716
perl-digest-bcrypt-doc-1.212-r1.apk                25-Oct-2024 20:12    5308
perl-digest-crc-0.24-r1.apk                        25-Oct-2024 20:12     11K
perl-digest-crc-doc-0.24-r1.apk                    25-Oct-2024 20:12    3260
perl-dns-unbound-0.29-r1.apk                       25-Oct-2024 20:12     25K
perl-dns-unbound-anyevent-0.29-r1.apk              25-Oct-2024 20:12    2394
perl-dns-unbound-asyncquery-promisexs-0.29-r1.apk  25-Oct-2024 20:12    1980
perl-dns-unbound-doc-0.29-r1.apk                   25-Oct-2024 20:12     15K
perl-dns-unbound-ioasync-0.29-r1.apk               25-Oct-2024 20:12    2474
perl-dns-unbound-mojo-0.29-r1.apk                  25-Oct-2024 20:12    2742
perl-email-abstract-3.010-r0.apk                   25-Oct-2024 20:12    7834
perl-email-abstract-doc-3.010-r0.apk               25-Oct-2024 20:12     13K
perl-email-mime-attachment-stripper-1.317-r5.apk   25-Oct-2024 20:12    4063
perl-email-mime-attachment-stripper-doc-1.317-r..> 25-Oct-2024 20:12    3908
perl-email-reply-1.204-r5.apk                      25-Oct-2024 20:12    6289
perl-email-reply-doc-1.204-r5.apk                  25-Oct-2024 20:12    4920
perl-email-sender-2.601-r0.apk                     04-Apr-2025 08:55     25K
perl-email-sender-doc-2.601-r0.apk                 04-Apr-2025 08:55     42K
perl-ev-hiredis-0.07-r1.apk                        25-Oct-2024 20:12     14K
perl-ev-hiredis-doc-0.07-r1.apk                    25-Oct-2024 20:12    4272
perl-expect-1.38-r0.apk                            19-Apr-2025 19:01     32K
perl-expect-doc-1.38-r0.apk                        19-Apr-2025 19:01     20K
perl-expect-simple-0.04-r0.apk                     20-Apr-2025 04:38    5622
perl-expect-simple-doc-0.04-r0.apk                 20-Apr-2025 04:38    5001
perl-extutils-makemaker-7.70-r2.apk                25-Oct-2024 20:12    175K
perl-extutils-xsbuilder-0.28-r5.apk                25-Oct-2024 20:12     43K
perl-extutils-xsbuilder-doc-0.28-r5.apk            25-Oct-2024 20:12     21K
perl-feed-find-0.13-r0.apk                         25-Oct-2024 20:12    3947
perl-feed-find-doc-0.13-r0.apk                     25-Oct-2024 20:12    3832
perl-ffi-c-0.15-r0.apk                             25-Oct-2024 20:12     20K
perl-ffi-c-doc-0.15-r0.apk                         25-Oct-2024 20:12     29K
perl-ffi-platypus-2.10-r0.apk                      19-Dec-2024 05:12    182K
perl-ffi-platypus-doc-2.10-r0.apk                  19-Dec-2024 05:12    146K
perl-ffi-platypus-type-enum-0.06-r0.apk            25-Oct-2024 20:12    5332
perl-ffi-platypus-type-enum-doc-0.06-r0.apk        25-Oct-2024 20:12    5287
perl-file-changenotify-0.31-r0.apk                 20-Mar-2025 16:04     12K
perl-file-changenotify-doc-0.31-r0.apk             20-Mar-2025 16:04     14K
perl-file-mmagic-xs-0.09008-r4.apk                 25-Oct-2024 20:12     31K
perl-file-mmagic-xs-doc-0.09008-r4.apk             25-Oct-2024 20:12    4301
perl-file-rename-2.02-r0.apk                       25-Oct-2024 20:12    7723
perl-file-rename-doc-2.02-r0.apk                   25-Oct-2024 20:12     12K
perl-flowd-0.9.1-r10.apk                           25-Oct-2024 20:12     22K
perl-flowd-doc-0.9.1-r10.apk                       25-Oct-2024 20:12    3282
perl-freezethaw-0.5001-r2.apk                      25-Oct-2024 20:12     10K
perl-freezethaw-doc-0.5001-r2.apk                  25-Oct-2024 20:12    5796
perl-full-1.004-r0.apk                             25-Oct-2024 20:12    7288
perl-full-doc-1.004-r0.apk                         25-Oct-2024 20:12     10K
perl-future-asyncawait-hooks-0.02-r0.apk           25-Oct-2024 20:12    8708
perl-future-asyncawait-hooks-doc-0.02-r0.apk       25-Oct-2024 20:12    3323
perl-future-http-0.17-r0.apk                       25-Oct-2024 20:12    9455
perl-future-http-doc-0.17-r0.apk                   25-Oct-2024 20:12     16K
perl-future-q-0.120-r0.apk                         25-Oct-2024 20:12    9836
perl-future-q-doc-0.120-r0.apk                     25-Oct-2024 20:12    9337
perl-future-queue-0.52-r0.apk                      25-Oct-2024 20:12    4187
perl-future-queue-doc-0.52-r0.apk                  25-Oct-2024 20:12    4377
perl-gearman-2.004.015-r3.apk                      25-Oct-2024 20:12     27K
perl-gearman-doc-2.004.015-r3.apk                  25-Oct-2024 20:12     20K
perl-getopt-long-descriptive-0.116-r0.apk          31-Dec-2024 09:40     15K
perl-getopt-long-descriptive-doc-0.116-r0.apk      31-Dec-2024 09:40     11K
perl-getopt-tabular-0.3-r4.apk                     25-Oct-2024 20:12     23K
perl-getopt-tabular-doc-0.3-r4.apk                 25-Oct-2024 20:12     17K
perl-git-raw-0.90-r3.apk                           13-Mar-2025 23:23    181K
perl-git-raw-doc-0.90-r3.apk                       13-Mar-2025 23:23    117K
perl-git-repository-1.325-r0.apk                   25-Oct-2024 20:12     16K
perl-git-repository-doc-1.325-r0.apk               25-Oct-2024 20:12     32K
perl-git-version-compare-1.005-r0.apk              25-Oct-2024 20:12    5535
perl-git-version-compare-doc-1.005-r0.apk          25-Oct-2024 20:12    4984
perl-glib-ex-objectbits-17-r0.apk                  25-Oct-2024 20:12     15K
perl-glib-ex-objectbits-doc-17-r0.apk              25-Oct-2024 20:12     22K
perl-glib-object-introspection-0.051-r1.apk        25-Oct-2024 20:12     64K
perl-glib-object-introspection-doc-0.051-r1.apk    25-Oct-2024 20:12     11K
perl-graphql-client-0.605-r0.apk                   25-Oct-2024 20:12    7321
perl-graphql-client-cli-0.605-r0.apk               25-Oct-2024 20:12    8008
perl-graphql-client-doc-0.605-r0.apk               25-Oct-2024 20:12     14K
perl-gtk2-1.24993-r6.apk                           25-Oct-2024 20:12    934K
perl-gtk2-doc-1.24993-r6.apk                       25-Oct-2024 20:12    670K
perl-gtk2-ex-listmodelconcat-11-r4.apk             25-Oct-2024 20:12     13K
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk         25-Oct-2024 20:12    7472
perl-gtk2-ex-widgetbits-48-r3.apk                  25-Oct-2024 20:12     66K
perl-gtk2-ex-widgetbits-doc-48-r3.apk              25-Oct-2024 20:12     81K
perl-gtk3-0.038-r1.apk                             25-Oct-2024 20:12     20K
perl-gtk3-doc-0.038-r1.apk                         25-Oct-2024 20:12    9382
perl-guard-1.023-r9.apk                            25-Oct-2024 20:12    9146
perl-guard-doc-1.023-r9.apk                        25-Oct-2024 20:12    5400
perl-hash-ordered-0.014-r0.apk                     25-Oct-2024 20:12     10K
perl-hash-ordered-doc-0.014-r0.apk                 25-Oct-2024 20:12     19K
perl-html-object-0.5.1-r0.apk                      25-Oct-2024 20:12    348K
perl-html-object-doc-0.5.1-r0.apk                  25-Oct-2024 20:12    472K
perl-html-selector-xpath-0.28-r0.apk               25-Oct-2024 20:12    6367
perl-html-selector-xpath-doc-0.28-r0.apk           25-Oct-2024 20:12    4169
perl-html-tableextract-2.15-r4.apk                 25-Oct-2024 20:12     18K
perl-html-tableextract-doc-2.15-r4.apk             25-Oct-2024 20:12     10K
perl-http-thin-0.006-r0.apk                        25-Oct-2024 20:12    3159
perl-http-thin-doc-0.006-r0.apk                    25-Oct-2024 20:12    3533
perl-http-xsheaders-0.400005-r1.apk                25-Oct-2024 20:12     21K
perl-http-xsheaders-doc-0.400005-r1.apk            25-Oct-2024 20:12    6467
perl-i18n-langinfo-wide-9-r4.apk                   25-Oct-2024 20:12    4316
perl-i18n-langinfo-wide-doc-9-r4.apk               25-Oct-2024 20:12    4185
perl-indirect-0.39-r1.apk                          25-Oct-2024 20:12     17K
perl-indirect-doc-0.39-r1.apk                      25-Oct-2024 20:12    6505
perl-io-lambda-1.34-r0.apk                         25-Oct-2024 20:12     75K
perl-io-lambda-doc-1.34-r0.apk                     25-Oct-2024 20:12     68K
perl-io-sessiondata-1.03-r3.apk                    25-Oct-2024 20:12    5896
perl-json-maybeutf8-2.000-r0.apk                   25-Oct-2024 20:12    3201
perl-json-maybeutf8-doc-2.000-r0.apk               25-Oct-2024 20:12    3646
perl-json-path-1.0.6-r0.apk                        25-Oct-2024 20:12     16K
perl-json-path-doc-1.0.6-r0.apk                    25-Oct-2024 20:12     13K
perl-json-validator-5.15-r0.apk                    19-Mar-2025 11:46     58K
perl-json-validator-doc-5.15-r0.apk                19-Mar-2025 11:46     34K
perl-lib-abs-0.95-r0.apk                           25-Oct-2024 20:12    3949
perl-lib-abs-doc-0.95-r0.apk                       25-Oct-2024 20:12    4052
perl-libapreq2-2.17-r2.apk                         25-Oct-2024 20:12    107K
perl-libapreq2-dev-2.17-r2.apk                     25-Oct-2024 20:12     56K
perl-libapreq2-doc-2.17-r2.apk                     25-Oct-2024 20:12     37K
perl-libintl-perl-1.35-r0.apk                      16-Jan-2025 13:35    305K
perl-libintl-perl-doc-1.35-r0.apk                  16-Jan-2025 13:35    571K
perl-linux-pid-0.04-r13.apk                        25-Oct-2024 20:12    5045
perl-linux-pid-doc-0.04-r13.apk                    25-Oct-2024 20:12    3071
perl-list-binarysearch-0.25-r0.apk                 25-Oct-2024 20:12     10K
perl-list-binarysearch-doc-0.25-r0.apk             25-Oct-2024 20:12     12K
perl-list-binarysearch-xs-0.09-r1.apk              25-Oct-2024 20:12     13K
perl-list-binarysearch-xs-doc-0.09-r1.apk          25-Oct-2024 20:12    8263
perl-list-keywords-0.11-r0.apk                     25-Oct-2024 20:12     15K
perl-list-keywords-doc-0.11-r0.apk                 25-Oct-2024 20:12    5642
perl-log-fu-0.31-r4.apk                            25-Oct-2024 20:12     11K
perl-log-fu-doc-0.31-r4.apk                        25-Oct-2024 20:12    7436
perl-log-message-0.08-r3.apk                       25-Oct-2024 20:12     11K
perl-log-message-doc-0.08-r3.apk                   25-Oct-2024 20:12     12K
perl-log-message-simple-0.10-r3.apk                25-Oct-2024 20:12    4316
perl-log-message-simple-doc-0.10-r3.apk            25-Oct-2024 20:12    4047
perl-lv-0.006-r0.apk                               25-Oct-2024 20:12    4180
perl-lv-backend-magic-0.006-r0.apk                 25-Oct-2024 20:12    2163
perl-lv-backend-sentinel-0.006-r0.apk              25-Oct-2024 20:12    2037
perl-lv-doc-0.006-r0.apk                           25-Oct-2024 20:12    4159
perl-lwp-online-1.08-r0.apk                        25-Oct-2024 20:12    6302
perl-lwp-online-doc-1.08-r0.apk                    25-Oct-2024 20:12    5833
perl-lwp-useragent-cached-0.08-r1.apk              25-Oct-2024 20:12    6541
perl-lwp-useragent-cached-doc-0.08-r1.apk          25-Oct-2024 20:12    5792
perl-mastodon-client-0.017-r0.apk                  25-Oct-2024 20:12     22K
perl-mastodon-client-doc-0.017-r0.apk              25-Oct-2024 20:12     33K
perl-math-int64-0.57-r1.apk                        25-Oct-2024 20:12     35K
perl-math-int64-doc-0.57-r1.apk                    25-Oct-2024 20:12     10K
perl-math-libm-1.00-r14.apk                        25-Oct-2024 20:12     11K
perl-math-libm-doc-1.00-r14.apk                    25-Oct-2024 20:12    3221
perl-math-random-0.72-r0.apk                       25-Oct-2024 20:12     38K
perl-math-random-doc-0.72-r0.apk                   25-Oct-2024 20:12     11K
perl-math-random-isaac-xs-1.004-r8.apk             25-Oct-2024 20:12    8240
perl-math-random-isaac-xs-doc-1.004-r8.apk         25-Oct-2024 20:12    3856
perl-mce-1.901-r0.apk                              03-Jan-2025 14:34    134K
perl-mce-doc-1.901-r0.apk                          03-Jan-2025 14:34    170K
perl-minion-10.31-r0.apk                           25-Oct-2024 20:12      2M
perl-minion-backend-pg-10.31-r0.apk                25-Oct-2024 20:12    9864
perl-minion-backend-redis-0.003-r0.apk             25-Oct-2024 20:12     11K
perl-minion-backend-redis-doc-0.003-r0.apk         25-Oct-2024 20:12    6740
perl-minion-backend-sqlite-5.0.7-r0.apk            25-Oct-2024 20:12     10K
perl-minion-backend-sqlite-doc-5.0.7-r0.apk        25-Oct-2024 20:12    6942
perl-minion-doc-10.31-r0.apk                       25-Oct-2024 20:12     49K
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk 25-Oct-2024 20:12    3523
perl-module-build-prereqs-fromcpanfile-doc-0.02..> 25-Oct-2024 20:12    3866
perl-module-generic-0.43.3-r0.apk                  23-Apr-2025 21:27    272K
perl-module-generic-doc-0.43.3-r0.apk              23-Apr-2025 21:27    214K
perl-mojo-reactor-ioasync-1.002-r0.apk             25-Oct-2024 20:12    4847
perl-mojo-reactor-ioasync-doc-1.002-r0.apk         25-Oct-2024 20:12    4489
perl-mojo-redis-3.29-r0.apk                        25-Oct-2024 20:12     25K
perl-mojo-redis-doc-3.29-r0.apk                    25-Oct-2024 20:12     25K
perl-mojo-sqlite-3.009-r0.apk                      25-Oct-2024 20:12     16K
perl-mojo-sqlite-doc-3.009-r0.apk                  25-Oct-2024 20:12     19K
perl-mojolicious-plugin-openapi-5.11-r0.apk        19-Mar-2025 11:46     28K
perl-mojolicious-plugin-openapi-doc-5.11-r0.apk    19-Mar-2025 11:46     33K
perl-moosex-configfromfile-0.14-r0.apk             16-Mar-2025 04:04    4185
perl-moosex-configfromfile-doc-0.14-r0.apk         16-Mar-2025 04:04    4253
perl-moosex-emulate-class-accessor-fast-0.00903..> 16-Mar-2025 04:04    5567
perl-moosex-emulate-class-accessor-fast-doc-0.0..> 16-Mar-2025 04:04    5469
perl-moosex-getopt-0.78-r0.apk                     16-Mar-2025 04:04     14K
perl-moosex-getopt-doc-0.78-r0.apk                 16-Mar-2025 04:04     25K
perl-moosex-methodattributes-0.32-r0.apk           19-Mar-2025 11:46    8760
perl-moosex-methodattributes-doc-0.32-r0.apk       19-Mar-2025 11:46     22K
perl-moosex-object-pluggable-0.0014-r0.apk         21-Apr-2025 17:33    6127
perl-moosex-object-pluggable-doc-0.0014-r0.apk     21-Apr-2025 17:33    5630
perl-moosex-role-parameterized-1.11-r0.apk         15-Mar-2025 14:08    7910
perl-moosex-role-parameterized-doc-1.11-r0.apk     15-Mar-2025 14:08     24K
perl-moosex-simpleconfig-0.11-r0.apk               16-Mar-2025 04:04    3880
perl-moosex-simpleconfig-doc-0.11-r0.apk           16-Mar-2025 04:04    4256
perl-moosex-types-path-tiny-0.012-r0.apk           15-Mar-2025 14:08    4101
perl-moosex-types-path-tiny-doc-0.012-r0.apk       15-Mar-2025 14:08    4214
perl-moosex-types-stringlike-0.003-r0.apk          15-Mar-2025 14:08    3118
perl-moosex-types-stringlike-doc-0.003-r0.apk      15-Mar-2025 14:08    3668
perl-multidimensional-0.014-r0.apk                 25-Oct-2024 20:12    5057
perl-multidimensional-doc-0.014-r0.apk             25-Oct-2024 20:12    3177
perl-musicbrainz-discid-0.06-r1.apk                25-Oct-2024 20:12    9875
perl-musicbrainz-discid-doc-0.06-r1.apk            25-Oct-2024 20:12    4386
perl-net-address-ip-local-0.1.2-r0.apk             25-Oct-2024 20:12    3533
perl-net-address-ip-local-doc-0.1.2-r0.apk         25-Oct-2024 20:12    3581
perl-net-amqp-rabbitmq-2.40012-r0.apk              25-Oct-2024 20:12     84K
perl-net-amqp-rabbitmq-doc-2.40012-r0.apk          25-Oct-2024 20:12    9919
perl-net-async-redis-6.006-r0.apk                  11-Dec-2024 16:22     58K
perl-net-async-redis-doc-6.006-r0.apk              11-Dec-2024 16:22     66K
perl-net-async-redis-xs-1.001-r1.apk               25-Oct-2024 20:12     10K
perl-net-async-redis-xs-doc-1.001-r1.apk           25-Oct-2024 20:12    5449
perl-net-curl-0.57-r0.apk                          22-Jan-2025 14:58     62K
perl-net-curl-doc-0.57-r0.apk                      22-Jan-2025 14:58     39K
perl-net-curl-promiser-0.20-r0.apk                 25-Oct-2024 20:12    9083
perl-net-curl-promiser-anyevent-0.20-r0.apk        25-Oct-2024 20:12    2793
perl-net-curl-promiser-doc-0.20-r0.apk             25-Oct-2024 20:12     12K
perl-net-curl-promiser-ioasync-0.20-r0.apk         25-Oct-2024 20:12    3047
perl-net-curl-promiser-mojo-0.20-r0.apk            25-Oct-2024 20:12    3189
perl-net-idn-encode-2.500-r1.apk                   25-Oct-2024 20:12     84K
perl-net-idn-encode-doc-2.500-r1.apk               25-Oct-2024 20:12     22K
perl-net-irr-0.10-r0.apk                           25-Oct-2024 20:12    5595
perl-net-irr-doc-0.10-r0.apk                       25-Oct-2024 20:12    5289
perl-net-jabber-2.0-r0.apk                         25-Oct-2024 20:12     51K
perl-net-jabber-bot-2.1.7-r0.apk                   25-Oct-2024 20:12     13K
perl-net-jabber-bot-doc-2.1.7-r0.apk               25-Oct-2024 20:12    7768
perl-net-jabber-doc-2.0-r0.apk                     25-Oct-2024 20:12     48K
perl-net-netmask-2.0002-r2.apk                     25-Oct-2024 20:12     14K
perl-net-netmask-doc-2.0002-r2.apk                 25-Oct-2024 20:12    8749
perl-net-patricia-1.22-r12.apk                     25-Oct-2024 20:12     22K
perl-net-patricia-doc-1.22-r12.apk                 25-Oct-2024 20:12    6187
perl-net-xmpp-1.05-r0.apk                          25-Oct-2024 20:12     58K
perl-net-xmpp-doc-1.05-r0.apk                      25-Oct-2024 20:12     44K
perl-netaddr-mac-0.98-r1.apk                       25-Oct-2024 20:12     11K
perl-netaddr-mac-doc-0.98-r1.apk                   25-Oct-2024 20:12    8184
perl-nice-try-1.3.15-r0.apk                        07-Nov-2024 02:16     28K
perl-nice-try-doc-1.3.15-r0.apk                    07-Nov-2024 02:16     12K
perl-number-format-1.76-r1.apk                     25-Oct-2024 20:12     15K
perl-number-format-doc-1.76-r1.apk                 25-Oct-2024 20:12    9227
perl-number-misc-1.2-r5.apk                        25-Oct-2024 20:12    5321
perl-number-misc-doc-1.2-r5.apk                    25-Oct-2024 20:12    4498
perl-number-tolerant-1.710-r0.apk                  25-Oct-2024 20:12     15K
perl-number-tolerant-doc-1.710-r0.apk              25-Oct-2024 20:12     26K
perl-object-array-0.060-r0.apk                     25-Oct-2024 20:12    5831
perl-object-array-doc-0.060-r0.apk                 25-Oct-2024 20:12    7130
perl-object-pad-fieldattr-checked-0.12-r0.apk      25-Oct-2024 20:12    9131
perl-object-pad-fieldattr-checked-doc-0.12-r0.apk  25-Oct-2024 20:12    4572
perl-object-signature-1.08-r0.apk                  04-Apr-2025 11:57    3764
perl-object-signature-doc-1.08-r0.apk              04-Apr-2025 11:57    5541
perl-openapi-client-1.07-r0.apk                    25-Oct-2024 20:12    8855
perl-openapi-client-doc-1.07-r0.apk                25-Oct-2024 20:12    7532
perl-opentracing-1.006-r0.apk                      25-Oct-2024 20:12     18K
perl-opentracing-doc-1.006-r0.apk                  25-Oct-2024 20:12     33K
perl-pango-1.227-r11.apk                           25-Oct-2024 20:12     87K
perl-pango-doc-1.227-r11.apk                       25-Oct-2024 20:12     81K
perl-path-iter-0.2-r3.apk                          25-Oct-2024 20:12    5346
perl-path-iter-doc-0.2-r3.apk                      25-Oct-2024 20:12    5279
perl-perlio-locale-0.10-r12.apk                    25-Oct-2024 20:12    4728
perl-perlio-locale-doc-0.10-r12.apk                25-Oct-2024 20:12    3120
perl-plack-middleware-expires-0.06-r3.apk          25-Oct-2024 20:12    3971
perl-plack-middleware-expires-doc-0.06-r3.apk      25-Oct-2024 20:12    3432
perl-plack-middleware-fixmissingbodyinredirect-..> 26-Dec-2024 09:36    3155
perl-plack-middleware-fixmissingbodyinredirect-..> 26-Dec-2024 09:36    3140
perl-plack-middleware-methodoverride-0.20-r0.apk   19-Mar-2025 11:46    3726
perl-plack-middleware-methodoverride-doc-0.20-r..> 19-Mar-2025 11:46    4142
perl-plack-middleware-removeredundantbody-0.09-..> 27-Dec-2024 14:02    2562
perl-plack-middleware-removeredundantbody-doc-0..> 27-Dec-2024 14:02    3153
perl-plack-middleware-reverseproxy-0.16-r2.apk     25-Oct-2024 20:12    3244
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk 25-Oct-2024 20:12    3129
perl-plack-test-externalserver-0.02-r0.apk         19-Mar-2025 11:46    2876
perl-plack-test-externalserver-doc-0.02-r0.apk     19-Mar-2025 11:46    3205
perl-pod-cpandoc-0.16-r6.apk                       25-Oct-2024 20:12    4679
perl-pod-cpandoc-doc-0.16-r6.apk                   25-Oct-2024 20:12    5012
perl-pod-tidy-0.10-r1.apk                          25-Oct-2024 20:12     10K
perl-pod-tidy-doc-0.10-r1.apk                      25-Oct-2024 20:12     11K
perl-ppi-xs-0.910-r1.apk                           25-Oct-2024 20:12    6056
perl-ppi-xs-doc-0.910-r1.apk                       25-Oct-2024 20:12    3450
perl-proc-guard-0.07-r4.apk                        25-Oct-2024 20:12    3782
perl-proc-guard-doc-0.07-r4.apk                    25-Oct-2024 20:12    3628
perl-promise-es6-0.28-r0.apk                       25-Oct-2024 20:12     11K
perl-promise-es6-anyevent-0.28-r0.apk              25-Oct-2024 20:12    2574
perl-promise-es6-doc-0.28-r0.apk                   25-Oct-2024 20:12     12K
perl-promise-es6-future-0.28-r0.apk                25-Oct-2024 20:12    2357
perl-promise-es6-io-async-0.28-r0.apk              25-Oct-2024 20:12    3035
perl-promise-es6-mojo-ioloop-0.28-r0.apk           25-Oct-2024 20:12    2648
perl-promise-me-0.5.0-r0.apk                       25-Oct-2024 20:12     26K
perl-promise-me-doc-0.5.0-r0.apk                   25-Oct-2024 20:12     12K
perl-promise-xs-0.20-r1.apk                        25-Oct-2024 20:12     26K
perl-promise-xs-doc-0.20-r1.apk                    25-Oct-2024 20:12    8878
perl-protocol-database-postgresql-2.001-r0.apk     25-Oct-2024 20:12     19K
perl-protocol-database-postgresql-doc-2.001-r0.apk 25-Oct-2024 20:12     36K
perl-protocol-redis-1.0021-r0.apk                  25-Oct-2024 20:12    5750
perl-protocol-redis-doc-1.0021-r0.apk              25-Oct-2024 20:12    5154
perl-protocol-redis-faster-0.003-r0.apk            25-Oct-2024 20:12    3515
perl-protocol-redis-faster-doc-0.003-r0.apk        25-Oct-2024 20:12    3409
perl-ref-util-xs-0.117-r8.apk                      25-Oct-2024 20:12     11K
perl-ref-util-xs-doc-0.117-r8.apk                  25-Oct-2024 20:12    3482
perl-regexp-grammars-1.058-r0.apk                  25-Oct-2024 20:12     66K
perl-regexp-grammars-doc-1.058-r0.apk              25-Oct-2024 20:12     48K
perl-role-eventemitter-0.003-r0.apk                25-Oct-2024 20:12    3761
perl-role-eventemitter-doc-0.003-r0.apk            25-Oct-2024 20:12    4049
perl-rxperl-6.29.8-r0.apk                          25-Oct-2024 20:12     26K
perl-rxperl-anyevent-6.8.1-r0.apk                  25-Oct-2024 20:12    2802
perl-rxperl-anyevent-doc-6.8.1-r0.apk              25-Oct-2024 20:12    9181
perl-rxperl-doc-6.29.8-r0.apk                      25-Oct-2024 20:12     23K
perl-rxperl-ioasync-6.9.1-r0.apk                   25-Oct-2024 20:12    2901
perl-rxperl-ioasync-doc-6.9.1-r0.apk               25-Oct-2024 20:12    9223
perl-rxperl-mojo-6.8.2-r0.apk                      25-Oct-2024 20:12    2913
perl-rxperl-mojo-doc-6.8.2-r0.apk                  25-Oct-2024 20:12    9278
perl-ryu-4.001-r0.apk                              25-Oct-2024 20:12     26K
perl-ryu-async-0.020-r0.apk                        25-Oct-2024 20:12    7669
perl-ryu-async-doc-0.020-r0.apk                    25-Oct-2024 20:12     12K
perl-ryu-doc-4.001-r0.apk                          25-Oct-2024 20:12     35K
perl-scalar-readonly-0.03-r1.apk                   25-Oct-2024 20:12    5590
perl-scalar-readonly-doc-0.03-r1.apk               25-Oct-2024 20:12    3458
perl-sentinel-0.07-r1.apk                          25-Oct-2024 20:12    7794
perl-sentinel-doc-0.07-r1.apk                      25-Oct-2024 20:12    4282
perl-session-storage-secure-1.000-r2.apk           25-Oct-2024 20:12    9043
perl-session-storage-secure-doc-1.000-r2.apk       25-Oct-2024 20:12    7585
perl-signature-attribute-checked-0.06-r0.apk       25-Oct-2024 20:12    8231
perl-signature-attribute-checked-doc-0.06-r0.apk   25-Oct-2024 20:12    4678
perl-snmp-5.0404-r13.apk                           25-Oct-2024 20:12     74K
perl-snmp-doc-5.0404-r13.apk                       25-Oct-2024 20:12     14K
perl-snmp-info-3.972002-r0.apk                     30-Oct-2024 06:00    333K
perl-snmp-info-doc-3.972002-r0.apk                 30-Oct-2024 06:00    379K
perl-soap-lite-1.27-r5.apk                         25-Oct-2024 20:12    110K
perl-soap-lite-doc-1.27-r5.apk                     25-Oct-2024 20:12     90K
perl-sort-naturally-1.03-r4.apk                    25-Oct-2024 20:12    8883
perl-sort-naturally-doc-1.03-r4.apk                25-Oct-2024 20:12    5596
perl-sort-versions-1.62-r0.apk                     25-Oct-2024 20:12    3834
perl-sort-versions-doc-1.62-r0.apk                 25-Oct-2024 20:12    4246
perl-sql-abstract-classic-1.91-r1.apk              25-Oct-2024 20:12     30K
perl-sql-abstract-classic-doc-1.91-r1.apk          25-Oct-2024 20:12     20K
perl-sql-abstract-more-1.43-r0.apk                 30-Dec-2024 06:37     27K
perl-sql-abstract-more-doc-1.43-r0.apk             30-Dec-2024 06:37     17K
perl-starman-0.4017-r0.apk                         25-Oct-2024 20:12     13K
perl-starman-doc-0.4017-r0.apk                     25-Oct-2024 20:12     10K
perl-statistics-basic-1.6611-r0.apk                25-Oct-2024 20:12    9439
perl-statistics-basic-doc-1.6611-r0.apk            25-Oct-2024 20:12     50K
perl-statistics-descriptive-3.0801-r0.apk          25-Oct-2024 20:12     30K
perl-statistics-descriptive-doc-3.0801-r0.apk      25-Oct-2024 20:12     38K
perl-storable-improved-0.1.3-r0.apk                25-Oct-2024 20:12    6864
perl-storable-improved-doc-0.1.3-r0.apk            25-Oct-2024 20:12    7077
perl-string-camelcase-0.04-r2.apk                  25-Oct-2024 20:12    3268
perl-string-camelcase-doc-0.04-r2.apk              25-Oct-2024 20:12    3549
perl-string-compare-constanttime-0.321-r6.apk      25-Oct-2024 20:12    7665
perl-string-compare-constanttime-doc-0.321-r6.apk  25-Oct-2024 20:12    5342
perl-string-crc32-2.100-r4.apk                     25-Oct-2024 20:12    7554
perl-string-crc32-doc-2.100-r4.apk                 25-Oct-2024 20:12    3453
perl-string-escape-2010.002-r0.apk                 29-Mar-2025 15:58    9084
perl-string-escape-doc-2010.002-r0.apk             29-Mar-2025 15:58    8020
perl-string-random-0.32-r2.apk                     25-Oct-2024 20:12    8104
perl-string-random-doc-0.32-r2.apk                 25-Oct-2024 20:12    6348
perl-syntax-keyword-match-0.15-r0.apk              25-Oct-2024 20:12     14K
perl-syntax-keyword-match-doc-0.15-r0.apk          25-Oct-2024 20:12    7949
perl-syntax-operator-equ-0.10-r0.apk               25-Oct-2024 20:12    8530
perl-syntax-operator-equ-doc-0.10-r0.apk           25-Oct-2024 20:12    6677
perl-syntax-operator-in-0.10-r0.apk                25-Oct-2024 20:12     10K
perl-syntax-operator-in-doc-0.10-r0.apk            25-Oct-2024 20:12    5981
perl-sys-syscall-0.25-r10.apk                      25-Oct-2024 20:12    5476
perl-sys-syscall-doc-0.25-r10.apk                  25-Oct-2024 20:12    3778
perl-sys-virt-11.1.0-r0.apk                        21-Mar-2025 11:43    206K
perl-sys-virt-doc-11.1.0-r0.apk                    21-Mar-2025 11:43     99K
perl-system-command-1.122-r0.apk                   25-Oct-2024 20:12     12K
perl-system-command-doc-1.122-r0.apk               25-Oct-2024 20:12     10K
perl-template-plugin-csv-0.04-r3.apk               25-Oct-2024 20:12    2777
perl-template-plugin-csv-doc-0.04-r3.apk           25-Oct-2024 20:12    3111
perl-template-plugin-number-format-1.06-r4.apk     25-Oct-2024 20:12    5026
perl-template-plugin-number-format-doc-1.06-r4.apk 25-Oct-2024 20:12    4508
perl-template-timer-1.00-r0.apk                    12-Apr-2025 15:51    3511
perl-template-timer-doc-1.00-r0.apk                12-Apr-2025 15:51    3743
perl-template-tiny-1.14-r0.apk                     15-Dec-2024 10:09    5291
perl-template-tiny-doc-1.14-r0.apk                 15-Dec-2024 10:09    4804
perl-term-size-0.211-r4.apk                        25-Oct-2024 20:12    6130
perl-term-size-doc-0.211-r4.apk                    25-Oct-2024 20:12    3830
perl-term-ui-0.50-r1.apk                           25-Oct-2024 20:12     10K
perl-term-ui-doc-0.50-r1.apk                       25-Oct-2024 20:12    8706
perl-test-api-0.010-r2.apk                         25-Oct-2024 20:12    5213
perl-test-api-doc-0.010-r2.apk                     25-Oct-2024 20:12    4357
perl-test-class-tiny-0.03-r0.apk                   25-Oct-2024 20:12    6015
perl-test-class-tiny-doc-0.03-r0.apk               25-Oct-2024 20:12    5567
perl-test-describeme-0.004-r0.apk                  25-Oct-2024 20:12    3673
perl-test-describeme-doc-0.004-r0.apk              25-Oct-2024 20:12    4281
perl-test-distribution-2.00-r1.apk                 25-Oct-2024 20:12    7945
perl-test-distribution-doc-2.00-r1.apk             25-Oct-2024 20:12    6230
perl-test-expander-2.5.1-r0.apk                    25-Oct-2024 20:12    7284
perl-test-expander-doc-2.5.1-r0.apk                25-Oct-2024 20:12     20K
perl-test-expect-0.34-r0.apk                       21-Apr-2025 17:35    3550
perl-test-expect-doc-0.34-r0.apk                   21-Apr-2025 17:35    3660
perl-test-file-1.995-r0.apk                        19-Apr-2025 17:54     11K
perl-test-file-doc-1.995-r0.apk                    19-Apr-2025 17:54    6958
perl-test-files-0.26-r0.apk                        25-Oct-2024 20:12    6896
perl-test-files-doc-0.26-r0.apk                    25-Oct-2024 20:12     15K
perl-test-lwp-useragent-0.036-r0.apk               25-Oct-2024 20:12     10K
perl-test-lwp-useragent-doc-0.036-r0.apk           25-Oct-2024 20:12    8550
perl-test-memorygrowth-0.05-r0.apk                 25-Oct-2024 20:12    6571
perl-test-memorygrowth-doc-0.05-r0.apk             25-Oct-2024 20:12    5412
perl-test-modern-0.013-r3.apk                      25-Oct-2024 20:12     15K
perl-test-modern-doc-0.013-r3.apk                  25-Oct-2024 20:12     10K
perl-test-randomresult-0.001-r0.apk                25-Oct-2024 20:12    3609
perl-test-randomresult-doc-0.001-r0.apk            25-Oct-2024 20:12    3766
perl-test-requires-git-1.008-r0.apk                25-Oct-2024 20:12    4904
perl-test-requires-git-doc-1.008-r0.apk            25-Oct-2024 20:12    4513
perl-test-roo-1.004-r3.apk                         25-Oct-2024 20:12     12K
perl-test-roo-doc-1.004-r3.apk                     25-Oct-2024 20:12     15K
perl-test-settings-0.003-r0.apk                    25-Oct-2024 20:12    5064
perl-test-settings-doc-0.003-r0.apk                25-Oct-2024 20:12    6188
perl-test-timer-2.12-r2.apk                        25-Oct-2024 20:12    9101
perl-test-timer-doc-2.12-r2.apk                    25-Oct-2024 20:12    8594
perl-test-toolbox-0.4-r5.apk                       25-Oct-2024 20:12     10K
perl-test-toolbox-doc-0.4-r5.apk                   25-Oct-2024 20:12    6324
perl-test-trap-0.3.5-r1.apk                        25-Oct-2024 20:12     20K
perl-test-trap-doc-0.3.5-r1.apk                    25-Oct-2024 20:12     20K
perl-test-unit-0.27-r0.apk                         25-Oct-2024 20:12     37K
perl-test-unit-doc-0.27-r0.apk                     25-Oct-2024 20:12     48K
perl-test-useallmodules-0.17-r1.apk                25-Oct-2024 20:12    3914
perl-test-useallmodules-doc-0.17-r1.apk            25-Oct-2024 20:12    3960
perl-test-utf8-1.03-r0.apk                         16-Nov-2024 15:11    5709
perl-test-utf8-doc-1.03-r0.apk                     16-Nov-2024 15:11    4976
perl-test-www-mechanize-1.60-r0.apk                13-Apr-2025 14:57     15K
perl-test-www-mechanize-catalyst-0.62-r0.apk       20-Apr-2025 04:32    7533
perl-test-www-mechanize-catalyst-doc-0.62-r0.apk   20-Apr-2025 04:32    6373
perl-test-www-mechanize-doc-1.60-r0.apk            13-Apr-2025 14:57     10K
perl-test2-tools-explain-0.02-r0.apk               25-Oct-2024 20:12    3905
perl-test2-tools-explain-doc-0.02-r0.apk           25-Oct-2024 20:12    4542
perl-text-brew-0.02-r5.apk                         25-Oct-2024 20:12    4643
perl-text-brew-doc-0.02-r5.apk                     25-Oct-2024 20:12    4238
perl-text-simpletable-2.07-r0.apk                  19-Mar-2025 11:46    4569
perl-text-simpletable-doc-2.07-r0.apk              19-Mar-2025 11:46    3535
perl-text-table-any-0.117-r0.apk                   25-Oct-2024 20:12    8266
perl-text-table-any-doc-0.117-r0.apk               25-Oct-2024 20:12    6810
perl-text-table-sprintf-0.008-r0.apk               25-Oct-2024 20:12    5486
perl-text-table-sprintf-doc-0.008-r0.apk           25-Oct-2024 20:12    5347
perl-throwable-1.001-r1.apk                        25-Oct-2024 20:12    6368
perl-throwable-doc-1.001-r1.apk                    25-Oct-2024 20:12    8199
perl-tickit-widget-choice-0.07-r0.apk              25-Oct-2024 20:12    4027
perl-tickit-widget-choice-doc-0.07-r0.apk          25-Oct-2024 20:12    3494
perl-tickit-widget-entry-plugin-completion-0.02..> 25-Oct-2024 20:12    4740
perl-tickit-widget-entry-plugin-completion-doc-..> 25-Oct-2024 20:12    3941
perl-tickit-widget-floatbox-0.11-r0.apk            25-Oct-2024 20:12    4809
perl-tickit-widget-floatbox-doc-0.11-r0.apk        25-Oct-2024 20:12    4255
perl-tickit-widget-menu-0.16-r0.apk                25-Oct-2024 20:12    7355
perl-tickit-widget-menu-doc-0.16-r0.apk            25-Oct-2024 20:12    7087
perl-tickit-widget-scrollbox-0.12-r0.apk           25-Oct-2024 20:12    8198
perl-tickit-widget-scrollbox-doc-0.12-r0.apk       25-Oct-2024 20:12    6704
perl-tie-toobject-0.03-r0.apk                      19-Mar-2025 11:46    2666
perl-tie-toobject-doc-0.03-r0.apk                  19-Mar-2025 11:46    3246
perl-time-moment-0.44-r0.apk                       25-Oct-2024 20:12     47K
perl-time-moment-doc-0.44-r0.apk                   25-Oct-2024 20:12     31K
perl-time-moment-role-strptime-0.001-r0.apk        25-Oct-2024 20:12    2814
perl-time-moment-role-strptime-doc-0.001-r0.apk    25-Oct-2024 20:12    3444
perl-time-moment-role-timezone-1.000-r0.apk        25-Oct-2024 20:12    3647
perl-time-moment-role-timezone-doc-1.000-r0.apk    25-Oct-2024 20:12    4081
perl-time-timegm-0.01-r9.apk                       25-Oct-2024 20:12    6978
perl-time-timegm-doc-0.01-r9.apk                   25-Oct-2024 20:12    3897
perl-tree-simple-visitorfactory-0.16-r0.apk        19-Mar-2025 11:46     20K
perl-tree-simple-visitorfactory-doc-0.16-r0.apk    19-Mar-2025 11:46     46K
perl-types-path-tiny-0.006-r0.apk                  25-Oct-2024 20:12    4050
perl-types-path-tiny-doc-0.006-r0.apk              25-Oct-2024 20:12    4196
perl-uri-db-0.23-r0.apk                            09-Jan-2025 05:27     11K
perl-uri-db-doc-0.23-r0.apk                        09-Jan-2025 05:27    8553
perl-uri-fetch-0.15-r0.apk                         25-Oct-2024 20:12    7227
perl-uri-fetch-doc-0.15-r0.apk                     25-Oct-2024 20:12    7702
perl-uri-find-20160806-r0.apk                      23-Mar-2025 07:58     14K
perl-uri-find-doc-20160806-r0.apk                  23-Mar-2025 07:58    9343
perl-uri-nested-0.10-r0.apk                        25-Oct-2024 20:12    4105
perl-uri-nested-doc-0.10-r0.apk                    25-Oct-2024 20:12    3996
perl-uri-redis-0.02-r0.apk                         25-Oct-2024 20:12    3261
perl-uri-redis-doc-0.02-r0.apk                     25-Oct-2024 20:12    4683
perl-uri-tcp-2.0.0-r0.apk                          25-Oct-2024 20:12    2777
perl-uri-tcp-doc-2.0.0-r0.apk                      25-Oct-2024 20:12    5077
perl-uri-ws-0.03-r0.apk                            19-Mar-2025 11:46    2398
perl-uri-ws-doc-0.03-r0.apk                        19-Mar-2025 11:46    4431
perl-url-encode-0.03-r4.apk                        25-Oct-2024 20:12    5276
perl-url-encode-doc-0.03-r4.apk                    25-Oct-2024 20:12    4815
perl-variable-disposition-0.005-r0.apk             25-Oct-2024 20:12    3350
perl-variable-disposition-doc-0.005-r0.apk         25-Oct-2024 20:12    5790
perl-x-tiny-0.22-r0.apk                            25-Oct-2024 20:12    7033
perl-x-tiny-doc-0.22-r0.apk                        25-Oct-2024 20:12    7776
perl-xml-atom-0.43-r0.apk                          25-Oct-2024 20:12     20K
perl-xml-atom-doc-0.43-r0.apk                      25-Oct-2024 20:12     16K
perl-xml-bare-0.53-r13.apk                         25-Oct-2024 20:12     29K
perl-xml-bare-doc-0.53-r13.apk                     25-Oct-2024 20:12     11K
perl-xml-feed-0.65-r0.apk                          25-Oct-2024 20:12     14K
perl-xml-feed-doc-0.65-r0.apk                      25-Oct-2024 20:12     12K
perl-xml-parser-style-easytree-0.09-r0.apk         25-Oct-2024 20:12    5091
perl-xml-parser-style-easytree-doc-0.09-r0.apk     25-Oct-2024 20:12    5546
perl-xml-rpc-2.1-r0.apk                            25-Oct-2024 20:12    5869
perl-xml-rpc-doc-2.1-r0.apk                        25-Oct-2024 20:12    4977
perl-xml-stream-1.24-r0.apk                        25-Oct-2024 20:12     44K
perl-xml-stream-doc-1.24-r0.apk                    25-Oct-2024 20:12     18K
persistent-cache-cpp-1.0.7-r4.apk                  17-Feb-2025 09:34     51K
persistent-cache-cpp-dev-1.0.7-r4.apk              17-Feb-2025 09:34     18K
persistent-cache-cpp-doc-1.0.7-r4.apk              17-Feb-2025 09:34    3212
pest-language-server-0.3.9-r0.apk                  25-Oct-2024 20:12      1M
pfetch-1.9.0-r0.apk                                11-Apr-2025 18:13     23K
pfetch-doc-1.9.0-r0.apk                            11-Apr-2025 18:13    5861
pgcat-1.2.0-r1.apk                                 01-Jan-2025 17:38      3M
pgcat-openrc-1.2.0-r1.apk                          01-Jan-2025 17:38    1921
phoronix-test-suite-10.8.4-r2.apk                  25-Oct-2024 20:12      4M
phoronix-test-suite-bash-completion-10.8.4-r2.apk  25-Oct-2024 20:12    1816
phoronix-test-suite-doc-10.8.4-r2.apk              25-Oct-2024 20:12    287K
php81-8.1.32-r1.apk                                29-Mar-2025 15:58      2M
php81-apache2-8.1.32-r1.apk                        29-Mar-2025 15:58      2M
php81-bcmath-8.1.32-r1.apk                         29-Mar-2025 15:58     17K
php81-bz2-8.1.32-r1.apk                            29-Mar-2025 15:58     11K
php81-calendar-8.1.32-r1.apk                       29-Mar-2025 15:58     13K
php81-cgi-8.1.32-r1.apk                            29-Mar-2025 15:58      2M
php81-common-8.1.32-r1.apk                         29-Mar-2025 15:58     25K
php81-ctype-8.1.32-r1.apk                          29-Mar-2025 15:58    5211
php81-curl-8.1.32-r1.apk                           29-Mar-2025 15:58     38K
php81-dba-8.1.32-r1.apk                            29-Mar-2025 15:58     25K
php81-dev-8.1.32-r1.apk                            29-Mar-2025 15:58    939K
php81-doc-8.1.32-r1.apk                            29-Mar-2025 15:58     68K
php81-dom-8.1.32-r1.apk                            29-Mar-2025 15:58     65K
php81-embed-8.1.32-r1.apk                          29-Mar-2025 15:58      2M
php81-enchant-8.1.32-r1.apk                        29-Mar-2025 15:58    9062
php81-exif-8.1.32-r1.apk                           29-Mar-2025 15:58     30K
php81-ffi-8.1.32-r1.apk                            29-Mar-2025 15:58     69K
php81-fileinfo-8.1.32-r1.apk                       29-Mar-2025 15:58    384K
php81-fpm-8.1.32-r1.apk                            29-Mar-2025 15:58      2M
php81-ftp-8.1.32-r1.apk                            29-Mar-2025 15:58     25K
php81-gd-8.1.32-r1.apk                             29-Mar-2025 15:58    135K
php81-gettext-8.1.32-r1.apk                        29-Mar-2025 15:58    6381
php81-gmp-8.1.32-r1.apk                            29-Mar-2025 15:58     21K
php81-iconv-8.1.32-r1.apk                          29-Mar-2025 15:58     18K
php81-imap-8.1.32-r1.apk                           29-Mar-2025 15:58     35K
php81-intl-8.1.32-r1.apk                           29-Mar-2025 15:58    151K
php81-ldap-8.1.32-r1.apk                           29-Mar-2025 15:58     34K
php81-litespeed-8.1.32-r1.apk                      29-Mar-2025 15:58      2M
php81-mbstring-8.1.32-r1.apk                       29-Mar-2025 15:58    575K
php81-mysqli-8.1.32-r1.apk                         29-Mar-2025 15:58     42K
php81-mysqlnd-8.1.32-r1.apk                        29-Mar-2025 15:58     84K
php81-odbc-8.1.32-r1.apk                           29-Mar-2025 15:58     25K
php81-opcache-8.1.32-r1.apk                        29-Mar-2025 15:58    350K
php81-openssl-8.1.32-r1.apk                        29-Mar-2025 15:58     80K
php81-pcntl-8.1.32-r1.apk                          29-Mar-2025 15:58     14K
php81-pdo-8.1.32-r1.apk                            29-Mar-2025 15:58     44K
php81-pdo_dblib-8.1.32-r1.apk                      29-Mar-2025 15:58     13K
php81-pdo_mysql-8.1.32-r1.apk                      29-Mar-2025 15:58     14K
php81-pdo_odbc-8.1.32-r1.apk                       29-Mar-2025 15:58     13K
php81-pdo_pgsql-8.1.32-r1.apk                      29-Mar-2025 15:58     21K
php81-pdo_sqlite-8.1.32-r1.apk                     29-Mar-2025 15:58     14K
php81-pear-8.1.32-r1.apk                           29-Mar-2025 15:58    338K
php81-pecl-amqp-2.1.2-r0.apk                       25-Oct-2024 20:12     51K
php81-pecl-apcu-5.1.24-r0.apk                      25-Oct-2024 20:12     56K
php81-pecl-ast-1.1.2-r0.apk                        25-Oct-2024 20:12     19K
php81-pecl-brotli-0.16.0-r0.apk                    22-Apr-2025 05:36     13K
php81-pecl-couchbase-4.2.7-r0.apk                  20-Mar-2025 03:18      5M
php81-pecl-csv-0.4.3-r0.apk                        25-Feb-2025 15:45     10K
php81-pecl-decimal-1.5.0-r1.apk                    25-Oct-2024 20:12     19K
php81-pecl-ds-1.5.0-r0.apk                         25-Oct-2024 20:12     62K
php81-pecl-event-3.1.4-r0.apk                      25-Oct-2024 20:12     51K
php81-pecl-grpc-1.71.0-r0.apk                      12-Mar-2025 06:43      5M
php81-pecl-igbinary-3.2.16-r0.apk                  25-Oct-2024 20:12     33K
php81-pecl-immutable_cache-6.1.0-r0.apk            25-Oct-2024 20:12     42K
php81-pecl-jsmin-3.0.0-r0.apk                      25-Oct-2024 20:12     11K
php81-pecl-luasandbox-4.1.2-r0.apk                 25-Oct-2024 20:12     31K
php81-pecl-lzf-1.7.0-r0.apk                        25-Oct-2024 20:12    7539
php81-pecl-mailparse-3.1.8-r0.apk                  25-Oct-2024 20:12     24K
php81-pecl-maxminddb-1.12.0-r0.apk                 15-Nov-2024 16:17    8405
php81-pecl-mcrypt-1.0.7-r0.apk                     25-Oct-2024 20:12     15K
php81-pecl-memcache-8.2-r1.apk                     25-Oct-2024 20:12     43K
php81-pecl-mongodb-2.0.0-r0.apk                    11-Apr-2025 18:13    827K
php81-pecl-oauth-2.0.9-r0.apk                      25-Oct-2024 20:12     35K
php81-pecl-opentelemetry-1.1.2-r0.apk              23-Jan-2025 03:50     13K
php81-pecl-pcov-1.0.12-r0.apk                      04-Dec-2024 17:17    9732
php81-pecl-protobuf-4.30.0-r0.apk                  05-Mar-2025 18:38    147K
php81-pecl-psr-1.2.0-r0.apk                        25-Oct-2024 20:12     17K
php81-pecl-rdkafka-6.0.5-r0.apk                    04-Nov-2024 12:52     37K
php81-pecl-smbclient-1.2.0_pre-r0.apk              10-Dec-2024 19:02     21K
php81-pecl-ssh2-1.4.1-r0.apk                       25-Oct-2024 20:12     27K
php81-pecl-timezonedb-2025.2-r0.apk                27-Mar-2025 11:06    189K
php81-pecl-uploadprogress-2.0.2-r1.apk             25-Oct-2024 20:12    6821
php81-pecl-uploadprogress-doc-2.0.2-r1.apk         25-Oct-2024 20:12     10K
php81-pecl-uuid-1.2.1-r0.apk                       25-Oct-2024 20:12    6693
php81-pecl-xdebug-3.4.2-r0.apk                     09-Mar-2025 18:38    143K
php81-pecl-xhprof-2.3.10-r0.apk                    25-Oct-2024 20:12     13K
php81-pecl-xhprof-assets-2.3.10-r0.apk             25-Oct-2024 20:12    801K
php81-pecl-xlswriter-1.5.8-r0.apk                  11-Nov-2024 01:44    229K
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk                 25-Oct-2024 20:12     37K
php81-pecl-yaml-2.2.4-r0.apk                       25-Oct-2024 20:12     19K
php81-pecl-zephir_parser-1.7.0-r0.apk              24-Nov-2024 17:25     57K
php81-pecl-zstd-0.14.0-r0.apk                      06-Nov-2024 14:44     14K
php81-pgsql-8.1.32-r1.apk                          29-Mar-2025 15:58     48K
php81-phar-8.1.32-r1.apk                           29-Mar-2025 15:58    130K
php81-phpdbg-8.1.32-r1.apk                         29-Mar-2025 15:58      2M
php81-posix-8.1.32-r1.apk                          29-Mar-2025 15:58     12K
php81-pspell-8.1.32-r1.apk                         29-Mar-2025 15:58    8576
php81-session-8.1.32-r1.apk                        29-Mar-2025 15:58     39K
php81-shmop-8.1.32-r1.apk                          29-Mar-2025 15:58    6441
php81-simplexml-8.1.32-r1.apk                      29-Mar-2025 15:58     25K
php81-snmp-8.1.32-r1.apk                           29-Mar-2025 15:58     22K
php81-soap-8.1.32-r1.apk                           29-Mar-2025 15:58    145K
php81-sockets-8.1.32-r1.apk                        29-Mar-2025 15:58     38K
php81-sodium-8.1.32-r1.apk                         29-Mar-2025 15:58     27K
php81-sqlite3-8.1.32-r1.apk                        29-Mar-2025 15:58     22K
php81-sysvmsg-8.1.32-r1.apk                        29-Mar-2025 15:58    8306
php81-sysvsem-8.1.32-r1.apk                        29-Mar-2025 15:58    6125
php81-sysvshm-8.1.32-r1.apk                        29-Mar-2025 15:58    7087
php81-tideways_xhprof-5.0.4-r1.apk                 25-Oct-2024 20:12     14K
php81-tidy-8.1.32-r1.apk                           29-Mar-2025 15:58     20K
php81-tokenizer-8.1.32-r1.apk                      29-Mar-2025 15:58     12K
php81-xml-8.1.32-r1.apk                            29-Mar-2025 15:58     20K
php81-xmlreader-8.1.32-r1.apk                      29-Mar-2025 15:58     14K
php81-xmlwriter-8.1.32-r1.apk                      29-Mar-2025 15:58     12K
php81-xsl-8.1.32-r1.apk                            29-Mar-2025 15:58     14K
php81-zip-8.1.32-r1.apk                            29-Mar-2025 15:58     28K
php82-pecl-apfd-1.0.3-r0.apk                       25-Oct-2024 20:12    4724
php82-pecl-excimer-1.2.3-r0.apk                    04-Dec-2024 01:49     21K
php82-pecl-immutable_cache-6.1.0-r0.apk            25-Oct-2024 20:12     42K
php82-pecl-jsmin-3.0.0-r0.apk                      25-Oct-2024 20:12     11K
php82-pecl-oauth-2.0.9-r0.apk                      25-Oct-2024 20:12     35K
php82-pecl-runkit7-4.0.0_alpha6-r1.apk             25-Oct-2024 20:12     30K
php82-pecl-teds-1.3.0-r0.apk                       25-Oct-2024 20:12    126K
php82-pecl-vld-0.18.0-r0.apk                       25-Oct-2024 20:12     17K
php82-pecl-zephir_parser-1.7.0-r0.apk              24-Nov-2024 17:25     57K
php82-snappy-0.2.3-r0.apk                          11-Apr-2025 18:13    5527
php83-pecl-apfd-1.0.3-r0.apk                       25-Oct-2024 20:12    4717
php83-pecl-eio-3.1.3-r0.apk                        25-Oct-2024 20:12     29K
php83-pecl-ev-1.2.0-r0.apk                         25-Oct-2024 20:12     42K
php83-pecl-excimer-1.2.3-r0.apk                    04-Dec-2024 01:49     21K
php83-pecl-jsmin-3.0.0-r0.apk                      25-Oct-2024 20:12     11K
php83-pecl-oauth-2.0.9-r0.apk                      25-Oct-2024 20:12     35K
php83-pecl-phpy-1.0.11-r0.apk                      06-Mar-2025 22:29     44K
php83-pecl-uv-0.3.0-r0.apk                         25-Oct-2024 20:12     49K
php83-pecl-vld-0.18.0-r1.apk                       25-Oct-2024 20:12     15K
php83-pecl-zmq-1.1.4-r0.apk                        25-Oct-2024 20:12     32K
php84-pecl-csv-0.4.3-r0.apk                        25-Feb-2025 15:45     10K
php84-pecl-ev-1.2.0-r1.apk                         25-Oct-2024 20:12     42K
php84-pecl-oauth-2.0.9-r0.apk                      25-Oct-2024 20:12     35K
php84-pecl-phpy-1.0.11-r0.apk                      06-Mar-2025 22:29     44K
php84-pecl-solr-2.8.1-r0.apk                       23-Apr-2025 21:27     87K
php84-pecl-uv-0.3.0-r0.apk                         28-Oct-2024 12:47     49K
php84-snappy-0.2.3-r0.apk                          11-Apr-2025 18:13    5528
phpactor-2024.06.30.0-r0.apk                       25-Oct-2024 20:12      4M
pick-4.0.0-r0.apk                                  25-Oct-2024 20:12    9926
pick-doc-4.0.0-r0.apk                              25-Oct-2024 20:12    3405
pict-rs-0.5.16-r1.apk                              25-Oct-2024 20:12      5M
pict-rs-openrc-0.5.16-r1.apk                       25-Oct-2024 20:12    1920
pidif-0.1-r1.apk                                   25-Oct-2024 20:12    165K
piglit-0_git20241106-r0.apk                        08-Nov-2024 06:18     89M
pimd-3.0_git20220201-r0.apk                        25-Oct-2024 20:12     91K
pimd-dense-2.1.0-r0.apk                            25-Oct-2024 20:12     57K
pimd-dense-doc-2.1.0-r0.apk                        25-Oct-2024 20:12     20K
pimd-dense-openrc-2.1.0-r0.apk                     25-Oct-2024 20:12    1898
pimd-doc-3.0_git20220201-r0.apk                    25-Oct-2024 20:12     35K
pimd-openrc-3.0_git20220201-r0.apk                 25-Oct-2024 20:12    1664
pinentry-bemenu-0.14.0-r0.apk                      21-Feb-2025 19:35    8842
pipectl-0.4.1-r1.apk                               25-Oct-2024 20:12    6604
pipectl-doc-0.4.1-r1.apk                           25-Oct-2024 20:12    3083
piping-server-0.18.0-r0.apk                        25-Oct-2024 20:12      1M
piping-server-openrc-0.18.0-r0.apk                 25-Oct-2024 20:12    1838
pithos-1.6.1-r0.apk                                25-Oct-2024 20:12    104K
pithos-doc-1.6.1-r0.apk                            25-Oct-2024 20:12    2143
pithos-pyc-1.6.1-r0.apk                            25-Oct-2024 20:12    154K
pitivi-2023.03-r2.apk                              22-Dec-2024 22:04      3M
pitivi-lang-2023.03-r2.apk                         22-Dec-2024 22:04    678K
pitivi-pyc-2023.03-r2.apk                          22-Dec-2024 22:04    700K
pixi-0.24.2-r0.apk                                 25-Oct-2024 20:12      9M
pixi-bash-completion-0.24.2-r0.apk                 25-Oct-2024 20:12    7326
pixi-doc-0.24.2-r0.apk                             25-Oct-2024 20:12    7035
pixi-fish-completion-0.24.2-r0.apk                 25-Oct-2024 20:12     10K
pixi-zsh-completion-0.24.2-r0.apk                  25-Oct-2024 20:12     10K
pixiewps-1.4.2-r1.apk                              25-Oct-2024 20:12     41K
pixiewps-doc-1.4.2-r1.apk                          25-Oct-2024 20:12    3467
planarity-4.0.0.0-r0.apk                           16-Mar-2025 10:06     26K
planarity-dev-4.0.0.0-r0.apk                       16-Mar-2025 10:06     23K
planarity-doc-4.0.0.0-r0.apk                       16-Mar-2025 10:06     13K
planarity-libs-4.0.0.0-r0.apk                      16-Mar-2025 10:06     80K
planner-0.14.92-r1.apk                             08-Dec-2024 21:39    358K
planner-doc-0.14.92-r1.apk                         08-Dec-2024 21:39    2205
planner-lang-0.14.92-r1.apk                        08-Dec-2024 21:39    825K
platformio-core-6.1.7-r3.apk                       25-Oct-2024 20:12    263K
platformio-core-pyc-6.1.7-r3.apk                   25-Oct-2024 20:12    552K
please-0.5.5-r0.apk                                25-Oct-2024 20:12   1006K
please-doc-0.5.5-r0.apk                            25-Oct-2024 20:12     16K
plfit-1.0.1-r0.apk                                 04-Jan-2025 03:47     13K
plfit-dev-1.0.1-r0.apk                             04-Jan-2025 03:47    6634
plfit-libs-1.0.1-r0.apk                            04-Jan-2025 03:47     39K
plfit-static-1.0.1-r0.apk                          04-Jan-2025 03:47     46K
plib-1.8.5-r3.apk                                  25-Oct-2024 20:12    853K
plots-0.7.0-r1.apk                                 06-Nov-2024 09:41    516K
plplot-5.15.0-r2.apk                               25-Oct-2024 20:12     31K
plplot-dev-5.15.0-r2.apk                           25-Oct-2024 20:12     59K
plplot-doc-5.15.0-r2.apk                           25-Oct-2024 20:12    311K
plplot-libs-5.15.0-r2.apk                          25-Oct-2024 20:12    216K
plzip-1.12-r0.apk                                  15-Jan-2025 08:35     52K
plzip-doc-1.12-r0.apk                              15-Jan-2025 08:35     17K
pmccabe-2.8-r1.apk                                 25-Oct-2024 20:12     22K
pmccabe-doc-2.8-r1.apk                             25-Oct-2024 20:12    7319
pnmixer-0.7.2-r3.apk                               25-Oct-2024 20:12    142K
pnmixer-doc-0.7.2-r3.apk                           25-Oct-2024 20:12    2357
pnmixer-lang-0.7.2-r3.apk                          25-Oct-2024 20:12     25K
poke-4.3-r0.apk                                    19-Mar-2025 11:46      1M
poke-doc-4.3-r0.apk                                19-Mar-2025 11:46    201K
pokoy-0.2.5-r0.apk                                 25-Oct-2024 20:12     11K
pokoy-doc-0.2.5-r0.apk                             25-Oct-2024 20:12    3064
policycoreutils-3.6-r1.apk                         25-Oct-2024 20:12     53K
policycoreutils-bash-completion-3.6-r1.apk         25-Oct-2024 20:12    2472
policycoreutils-doc-3.6-r1.apk                     25-Oct-2024 20:12     22K
policycoreutils-lang-3.6-r1.apk                    25-Oct-2024 20:12    105K
polyglot-2.0.4-r1.apk                              25-Oct-2024 20:12     66K
polyglot-doc-2.0.4-r1.apk                          25-Oct-2024 20:12     48K
pomo-0.8.1-r22.apk                                 12-Apr-2025 03:09      2M
pomo-doc-0.8.1-r22.apk                             12-Apr-2025 03:09    2797
pongoos-loader-0_git20210704-r1.apk                25-Oct-2024 20:12    2425
pop-cursor-theme-3.5.1-r0.apk                      25-Mar-2025 07:55     13M
pop-icon-theme-3.5.1-r0.apk                        25-Mar-2025 07:55      1M
popeye-0.22.1-r3.apk                               12-Apr-2025 03:09     29M
porla-0.41.0-r2.apk                                24-Feb-2025 15:10      4M
porla-doc-0.41.0-r2.apk                            24-Feb-2025 15:10    2245
porla-openrc-0.41.0-r2.apk                         24-Feb-2025 15:10    2751
portsmf-239-r1.apk                                 25-Oct-2024 20:12     63K
portsmf-dev-239-r1.apk                             25-Oct-2024 20:12     20K
postgresql-pg_graphql-1.5.9-r0.apk                 25-Dec-2024 17:35    602K
postgresql-pg_later-0.0.14-r1.apk                  25-Oct-2024 20:12    622K
postgresql-pg_partman-5.0.0-r0.apk                 25-Oct-2024 20:12    971K
postgresql-pg_partman-bitcode-5.0.0-r0.apk         25-Oct-2024 20:12     22K
postgresql-pg_partman-doc-5.0.0-r0.apk             25-Oct-2024 20:12     47K
postgresql-pg_partman-scripts-5.0.0-r0.apk         25-Oct-2024 20:12    7894
postgresql-pg_variables-1.2.5_git20230922-r0.apk   25-Oct-2024 20:12     26K
postgresql-pg_variables-bitcode-1.2.5_git202309..> 25-Oct-2024 20:12     52K
postgresql-pgmq-1.1.1-r1.apk                       25-Oct-2024 20:12    264K
postgresql16-wal2json-2.6-r0.apk                   25-Oct-2024 20:12     72K
pounce-3.1-r3.apk                                  25-Oct-2024 20:12     29K
pounce-doc-3.1-r3.apk                              25-Oct-2024 20:12    8764
pounce-openrc-3.1-r3.apk                           25-Oct-2024 20:12    2940
powder-toy-97.0.352-r1.apk                         25-Oct-2024 20:12    848K
powerstat-0.04.01-r0.apk                           25-Oct-2024 20:12     20K
powerstat-bash-completion-0.04.01-r0.apk           25-Oct-2024 20:12    2349
powerstat-doc-0.04.01-r0.apk                       25-Oct-2024 20:12    4366
ppl-1.2-r1.apk                                     25-Oct-2024 20:12     40K
ppl-dev-1.2-r1.apk                                 25-Oct-2024 20:12    607K
ppl-doc-1.2-r1.apk                                 25-Oct-2024 20:12      9M
pptpclient-1.10.0-r5.apk                           25-Oct-2024 20:12     33K
pptpclient-doc-1.10.0-r5.apk                       25-Oct-2024 20:12    7353
pqiv-2.12-r1.apk                                   25-Oct-2024 20:12     72K
pqiv-doc-2.12-r1.apk                               25-Oct-2024 20:12     12K
predict-2.3.1-r0.apk                               23-Nov-2024 19:05    108K
predict-doc-2.3.1-r0.apk                           23-Nov-2024 19:05     16K
primecount-7.15-r0.apk                             22-Mar-2025 14:42     32K
primecount-dev-7.15-r0.apk                         22-Mar-2025 14:42      2M
primecount-doc-7.15-r0.apk                         22-Mar-2025 14:42    3911
primecount-libs-7.15-r0.apk                        22-Mar-2025 14:42    153K
primesieve-12.7-r0.apk                             22-Mar-2025 14:42     51K
primesieve-dev-12.7-r0.apk                         22-Mar-2025 14:42      1M
primesieve-doc-12.7-r0.apk                         22-Mar-2025 14:42    4099
primesieve-libs-12.7-r0.apk                        22-Mar-2025 14:42    137K
prjtrellis-1.4-r2.apk                              25-Oct-2024 20:12      1M
prjtrellis-db-0_git20230929-r0.apk                 25-Oct-2024 20:12    3380
prjtrellis-db-ecp5-0_git20230929-r0.apk            25-Oct-2024 20:12      2M
prjtrellis-db-machxo-0_git20230929-r0.apk          25-Oct-2024 20:12     39K
prjtrellis-db-machxo2-0_git20230929-r0.apk         25-Oct-2024 20:12   1013K
prjtrellis-db-machxo3-0_git20230929-r0.apk         25-Oct-2024 20:12      1M
prjtrellis-db-machxo3d-0_git20230929-r0.apk        25-Oct-2024 20:12    748K
projectm-3.1.12-r2.apk                             25-Oct-2024 20:12    462K
projectm-dev-3.1.12-r2.apk                         25-Oct-2024 20:12    605K
projectm-presets-3.1.12-r2.apk                     25-Oct-2024 20:12      4M
projectm-pulseaudio-3.1.12-r2.apk                  25-Oct-2024 20:12    434K
projectm-pulseaudio-doc-3.1.12-r2.apk              25-Oct-2024 20:12    2051
projectm-sdl-3.1.12-r2.apk                         25-Oct-2024 20:12    339K
projectsandcastle-loader-0_git20200307-r1.apk      25-Oct-2024 20:12    5067
prometheus-bind-exporter-0.8.0-r0.apk              15-Apr-2025 20:43      5M
prometheus-bind-exporter-openrc-0.8.0-r0.apk       15-Apr-2025 20:43    1926
prometheus-ipmi-exporter-1.8.0-r4.apk              12-Apr-2025 03:09      5M
prometheus-ipmi-exporter-doc-1.8.0-r4.apk          12-Apr-2025 03:09    6772
prometheus-ipmi-exporter-openrc-1.8.0-r4.apk       12-Apr-2025 03:09    1991
prometheus-opnsense-exporter-0.0.7-r2.apk          12-Apr-2025 03:09      5M
prometheus-opnsense-exporter-openrc-0.0.7-r2.apk   12-Apr-2025 03:09    2144
prometheus-podman-exporter-1.15.0-r2.apk           12-Apr-2025 03:09     16M
prometheus-rethinkdb-exporter-1.0.1-r27.apk        12-Apr-2025 03:09      4M
prometheus-rethinkdb-exporter-openrc-1.0.1-r27.apk 12-Apr-2025 03:09    1707
prometheus-smartctl-exporter-0.13.0-r4.apk         12-Apr-2025 03:09      5M
prometheus-smartctl-exporter-openrc-0.13.0-r4.apk  12-Apr-2025 03:09    1943
prometheus-smokeping-prober-0.7.1-r11.apk          12-Apr-2025 03:09      5M
prometheus-smokeping-prober-openrc-0.7.1-r11.apk   12-Apr-2025 03:09    2051
prometheus-unbound-exporter-0.4.6-r4.apk           12-Apr-2025 03:09      4M
prometheus-unbound-exporter-openrc-0.4.6-r4.apk    12-Apr-2025 03:09    2004
proot-5.4.0-r1.apk                                 25-Oct-2024 20:12     79K
proot-doc-5.4.0-r1.apk                             25-Oct-2024 20:12     10K
proot-static-5.4.0-r1.apk                          25-Oct-2024 20:12    117K
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk       25-Oct-2024 20:12    2881
prosody-mod-auth_pam-0.11_hg20201208-r0.apk        25-Oct-2024 20:12    1852
prosody-mod-auth_sql-0.11_hg20201208-r0.apk        25-Oct-2024 20:12    2703
prosody-mod-block_registrations-0.11_hg20201208..> 25-Oct-2024 20:12    1808
prosody-mod-bookmarks-0.11_hg20201208-r0.apk       25-Oct-2024 20:12    3184
prosody-mod-broadcast-0.11_hg20201208-r0.apk       25-Oct-2024 20:12    1893
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r..> 25-Oct-2024 20:12    2049
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk    25-Oct-2024 20:12    7387
prosody-mod-conversejs-0.11_hg20201208-r0.apk      25-Oct-2024 20:12    3348
prosody-mod-host_guard-0.11_hg20201208-r0.apk      25-Oct-2024 20:12    2901
prosody-mod-http_upload_external-0.11_hg2020120..> 25-Oct-2024 20:12    2960
prosody-mod-ipcheck-0.11_hg20201208-r0.apk         25-Oct-2024 20:12    2001
prosody-mod-log_auth-0.11_hg20201208-r0.apk        25-Oct-2024 20:12    1808
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk 25-Oct-2024 20:12    2236
prosody-mod-mam-0.11_hg20201208-r0.apk             25-Oct-2024 20:12    6023
prosody-mod-mam_muc-0.11_hg20201208-r0.apk         25-Oct-2024 20:12    5687
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0..> 25-Oct-2024 20:12    7026
prosody-mod-pastebin-0.11_hg20201208-r0.apk        25-Oct-2024 20:12    3809
prosody-mod-register_json-0.11_hg20201208-r0.apk   25-Oct-2024 20:12    104K
prosody-mod-register_redirect-0.11_hg20201208-r..> 25-Oct-2024 20:12    2771
prosody-mod-reload_modules-0.11_hg20201208-r0.apk  25-Oct-2024 20:12    2047
prosody-mod-require_otr-0.11_hg20201208-r0.apk     25-Oct-2024 20:12    1753
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0..> 25-Oct-2024 20:12    2064
prosody-mod-saslname-0.11_hg20201208-r0.apk        25-Oct-2024 20:12    1646
prosody-mod-server_status-0.11_hg20201208-r0.apk   25-Oct-2024 20:12    2841
prosody-mod-smacks-0.11_hg20201208-r0.apk          25-Oct-2024 20:12    8787
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk  25-Oct-2024 20:12    2085
prosody-mod-support_contact-0.11_hg20201208-r0.apk 25-Oct-2024 20:12    2072
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk       25-Oct-2024 20:12    2726
prosody-mod-webpresence-0.11_hg20201208-r0.apk     25-Oct-2024 20:12    2700
prosody-modules-0.11_hg20201208-r0.apk             25-Oct-2024 20:12    1488
protoc-gen-go-1.36.5-r1.apk                        12-Apr-2025 03:09      2M
protoconf-0.1.7-r12.apk                            12-Apr-2025 03:09      8M
psftools-1.1.2-r0.apk                              25-Oct-2024 20:12    190K
psftools-dev-1.1.2-r0.apk                          25-Oct-2024 20:12     43K
psftools-doc-1.1.2-r0.apk                          25-Oct-2024 20:12     60K
psi-notify-1.3.1-r0.apk                            25-Oct-2024 20:12     12K
psi-plus-1.5.1965-r0.apk                           25-Oct-2024 20:12      9M
psi-plus-plugins-1.5.1965-r0.apk                   25-Oct-2024 20:12      2M
pspp-2.0.1-r0.apk                                  25-Oct-2024 20:12      9M
pspp-dbg-2.0.1-r0.apk                              25-Oct-2024 20:12      4M
pspp-doc-2.0.1-r0.apk                              25-Oct-2024 20:12    9229
psst-0_git20240526-r1.apk                          25-Oct-2024 20:12      7M
ptpd-2.3.1-r1.apk                                  25-Oct-2024 20:12    180K
ptpd-doc-2.3.1-r1.apk                              25-Oct-2024 20:12     20K
ptpd-openrc-2.3.1-r1.apk                           25-Oct-2024 20:12    2448
ptylie-0.2-r1.apk                                  25-Oct-2024 20:12     12K
ptylie-doc-0.2-r1.apk                              25-Oct-2024 20:12    3230
pully-1.0.0-r0.apk                                 25-Oct-2024 20:12    2584
pully-openrc-1.0.0-r0.apk                          25-Oct-2024 20:12    1759
pulsar-client-cpp-3.1.2-r5.apk                     11-Apr-2025 18:13      1M
pulsar-client-cpp-dev-3.1.2-r5.apk                 11-Apr-2025 18:13     53K
pulseview-0.4.2-r8.apk                             25-Oct-2024 20:12   1008K
pulseview-doc-0.4.2-r8.apk                         25-Oct-2024 20:12    3746
purple-facebook-0.9.6-r0.apk                       25-Oct-2024 20:12     88K
purple-hangouts-0_git20200422-r0.apk               25-Oct-2024 20:12    228K
pw-volume-0.5.0-r1.apk                             25-Oct-2024 20:12    329K
pwauth-2.3.11-r2.apk                               25-Oct-2024 20:12    4205
pwauth-doc-2.3.11-r2.apk                           25-Oct-2024 20:12    6964
pxalarm-3.0.0-r0.apk                               25-Oct-2024 20:12    2950
pxmenu-1.0.0-r1.apk                                25-Oct-2024 20:12    2948
py3-actdiag-3.0.0-r5.apk                           25-Oct-2024 20:12     17K
py3-actdiag-pyc-3.0.0-r5.apk                       25-Oct-2024 20:12     21K
py3-aesedb-0.1.6-r2.apk                            25-Oct-2024 20:12     37K
py3-aesedb-pyc-0.1.6-r2.apk                        25-Oct-2024 20:12     76K
py3-agithub-2.2.2-r7.apk                           19-Mar-2025 11:46     19K
py3-agithub-pyc-2.2.2-r7.apk                       19-Mar-2025 11:46     21K
py3-aiodocker-0.21.0-r1.apk                        25-Oct-2024 20:12     29K
py3-aiodocker-pyc-0.21.0-r1.apk                    25-Oct-2024 20:12     60K
py3-aiohttp-debugtoolbar-0.6.1-r2.apk              25-Oct-2024 20:12    446K
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk          25-Oct-2024 20:12     51K
py3-aiohttp-jinja2-1.6-r2.apk                      25-Oct-2024 20:12     12K
py3-aiohttp-jinja2-pyc-1.6-r2.apk                  25-Oct-2024 20:12    9452
py3-aiohttp-remotes-1.3.0-r0.apk                   04-Nov-2024 12:28     10K
py3-aiohttp-remotes-pyc-1.3.0-r0.apk               04-Nov-2024 12:28     19K
py3-aiohttp-session-2.12.1-r0.apk                  25-Oct-2024 20:12     10K
py3-aiohttp-session-pyc-2.12.1-r0.apk              25-Oct-2024 20:12     15K
py3-aioopenssl-0.6.0-r4.apk                        25-Oct-2024 20:12     21K
py3-aioopenssl-pyc-0.6.0-r4.apk                    25-Oct-2024 20:12     19K
py3-aiosasl-0.5.0-r4.apk                           25-Oct-2024 20:12     30K
py3-aiosasl-doc-0.5.0-r4.apk                       25-Oct-2024 20:12     16K
py3-aiosasl-pyc-0.5.0-r4.apk                       25-Oct-2024 20:12     24K
py3-aiosmb-0.4.11-r0.apk                           25-Oct-2024 20:12    605K
py3-aiosmb-pyc-0.4.11-r0.apk                       25-Oct-2024 20:12      1M
py3-aiowinreg-0.0.12-r0.apk                        25-Oct-2024 20:12     23K
py3-aiowinreg-pyc-0.0.12-r0.apk                    25-Oct-2024 20:12     45K
py3-aioxmpp-0.13.3-r3.apk                          25-Oct-2024 20:12    388K
py3-aioxmpp-doc-0.13.3-r3.apk                      25-Oct-2024 20:12     18K
py3-aioxmpp-pyc-0.13.3-r3.apk                      25-Oct-2024 20:12    673K
py3-allfiles-1.0-r8.apk                            25-Oct-2024 20:12    3653
py3-allfiles-pyc-1.0-r8.apk                        25-Oct-2024 20:12    3345
py3-altgraph-0.17.4-r1.apk                         25-Oct-2024 20:12     21K
py3-altgraph-pyc-0.17.4-r1.apk                     25-Oct-2024 20:12     29K
py3-ansi2html-1.9.2-r0.apk                         25-Oct-2024 20:12     18K
py3-ansi2html-pyc-1.9.2-r0.apk                     25-Oct-2024 20:12     22K
py3-anyascii-0.3.2-r1.apk                          25-Oct-2024 20:12    275K
py3-anyascii-pyc-0.3.2-r1.apk                      25-Oct-2024 20:12    3409
py3-apicula-0.11.1-r1.apk                          25-Oct-2024 20:12      8M
py3-apicula-pyc-0.11.1-r1.apk                      25-Oct-2024 20:12    179K
py3-apio-0.9.5-r0.apk                              25-Oct-2024 20:12     72K
py3-apio-pyc-0.9.5-r0.apk                          25-Oct-2024 20:12     77K
py3-apk3-3.0.0_rc4_git20250320-r0.apk              24-Mar-2025 11:33    4322
py3-apsw-3.49.1.0-r0.apk                           25-Feb-2025 06:29    822K
py3-apsw-pyc-3.49.1.0-r0.apk                       25-Feb-2025 06:29    526K
py3-arcus-5.3.0-r2.apk                             11-Apr-2025 18:13     89K
py3-asif-0.3.2-r3.apk                              25-Oct-2024 20:12     13K
py3-asif-pyc-0.3.2-r3.apk                          25-Oct-2024 20:12     26K
py3-ask-0.0.8-r8.apk                               25-Oct-2024 20:12    5117
py3-ask-pyc-0.0.8-r8.apk                           25-Oct-2024 20:12    4582
py3-astral-3.2-r3.apk                              25-Oct-2024 20:12     37K
py3-astral-pyc-3.2-r3.apk                          25-Oct-2024 20:12     59K
py3-asyauth-0.0.21-r0.apk                          25-Oct-2024 20:12     79K
py3-asyauth-pyc-0.0.21-r0.apk                      25-Oct-2024 20:12    171K
py3-async-lru-2.0.5-r0.apk                         19-Mar-2025 11:46    7136
py3-async-lru-pyc-2.0.5-r0.apk                     19-Mar-2025 11:46    8697
py3-asysocks-0.2.13-r0.apk                         25-Oct-2024 20:12     87K
py3-asysocks-pyc-0.2.13-r0.apk                     25-Oct-2024 20:12    232K
py3-avro-1.11.3-r1.apk                             25-Oct-2024 20:12     98K
py3-avro-pyc-1.11.3-r1.apk                         25-Oct-2024 20:12    191K
py3-b2sdk-2.8.0-r0.apk                             26-Jan-2025 23:25    215K
py3-b2sdk-pyc-2.8.0-r0.apk                         26-Jan-2025 23:25    403K
py3-banal-1.0.6-r4.apk                             25-Oct-2024 20:12    7043
py3-banal-pyc-1.0.6-r4.apk                         25-Oct-2024 20:12    7380
py3-bandwidth-sdk-3.1.0-r8.apk                     25-Oct-2024 20:12     46K
py3-bandwidth-sdk-pyc-3.1.0-r8.apk                 25-Oct-2024 20:12     69K
py3-barcodenumber-0.2.1-r10.apk                    25-Oct-2024 20:12     16K
py3-barcodenumber-pyc-0.2.1-r10.apk                25-Oct-2024 20:12    4363
py3-base58-2.1.1-r2.apk                            25-Oct-2024 20:12     11K
py3-beartype-0.20.1-r0.apk                         21-Mar-2025 19:33    907K
py3-beartype-pyc-0.20.1-r0.apk                     21-Mar-2025 19:33    657K
py3-bencode-4.0.0-r1.apk                           25-Oct-2024 20:12     17K
py3-bencode-pyc-4.0.0-r1.apk                       25-Oct-2024 20:12     10K
py3-bibtexparser-1.4.3-r0.apk                      19-Jan-2025 11:55     40K
py3-bibtexparser-pyc-1.4.3-r0.apk                  19-Jan-2025 11:55     49K
py3-bidict-0.23.1-r1.apk                           25-Oct-2024 20:12     28K
py3-bidict-pyc-0.23.1-r1.apk                       25-Oct-2024 20:12     29K
py3-bite-parser-0.2.5-r0.apk                       28-Oct-2024 21:51     14K
py3-bite-parser-pyc-0.2.5-r0.apk                   28-Oct-2024 21:51     23K
py3-bitstruct-8.19.0-r1.apk                        25-Oct-2024 20:12     35K
py3-bitstruct-pyc-8.19.0-r1.apk                    25-Oct-2024 20:12     13K
py3-bleak-0.22.3-r0.apk                            25-Oct-2024 20:12    370K
py3-blockchain-1.4.4-r7.apk                        25-Oct-2024 20:12     11K
py3-blockchain-pyc-1.4.4-r7.apk                    25-Oct-2024 20:12     18K
py3-blockdiag-3.0.0-r6.apk                         10-Jan-2025 12:38     68K
py3-blockdiag-pyc-3.0.0-r6.apk                     10-Jan-2025 12:38    149K
py3-blockdiag-tests-3.0.0-r6.apk                   10-Jan-2025 12:38      3M
py3-bookkeeper-4.17.1-r0.apk                       25-Oct-2024 20:12     43K
py3-bookkeeper-pyc-4.17.1-r0.apk                   25-Oct-2024 20:12     67K
py3-bottle-api-0.0.4-r7.apk                        25-Oct-2024 20:12    4989
py3-bottle-api-pyc-0.0.4-r7.apk                    25-Oct-2024 20:12    5341
py3-bottle-pgsql-0.2-r5.apk                        25-Oct-2024 20:12    4372
py3-bottle-redis-0.2.3-r6.apk                      25-Oct-2024 20:12    3384
py3-bottle-redis-pyc-0.2.3-r6.apk                  25-Oct-2024 20:12    3204
py3-bottle-renderer-0.1.1-r9.apk                   25-Oct-2024 20:12    4081
py3-bottle-renderer-pyc-0.1.1-r9.apk               25-Oct-2024 20:12    3826
py3-bottle-request-0.2.0-r9.apk                    25-Oct-2024 20:12    3286
py3-bottle-request-pyc-0.2.0-r9.apk                25-Oct-2024 20:12    2663
py3-bottle-rest-0.6.0-r1.apk                       25-Oct-2024 20:12    6258
py3-bottle-rest-pyc-0.6.0-r1.apk                   25-Oct-2024 20:12    5303
py3-bottle-session-1.0-r6.apk                      25-Oct-2024 20:12     10K
py3-bottle-session-pyc-1.0-r6.apk                  25-Oct-2024 20:12    7983
py3-bottle-sqlalchemy-0.4.3-r8.apk                 25-Oct-2024 20:12    4966
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk             25-Oct-2024 20:12    5770
py3-bottle-sqlite-0.2.0-r7.apk                     25-Oct-2024 20:12    4810
py3-bottle-sqlite-pyc-0.2.0-r7.apk                 25-Oct-2024 20:12    5363
py3-bottle-websocket-0.2.9-r8.apk                  25-Oct-2024 20:12    4755
py3-bottle-websocket-pyc-0.2.9-r8.apk              25-Oct-2024 20:12    3212
py3-bottle-werkzeug-0.1.1-r9.apk                   25-Oct-2024 20:12    4192
py3-bottle-werkzeug-pyc-0.1.1-r9.apk               25-Oct-2024 20:12    4355
py3-bson-0.5.10-r6.apk                             25-Oct-2024 20:12     12K
py3-bson-pyc-0.5.10-r6.apk                         25-Oct-2024 20:12     19K
py3-businesstime-0.3.0-r9.apk                      25-Oct-2024 20:12     11K
py3-businesstime-pyc-0.3.0-r9.apk                  25-Oct-2024 20:12     16K
py3-c3d-0.5.2-r1.apk                               25-Oct-2024 20:12     32K
py3-c3d-pyc-0.5.2-r1.apk                           25-Oct-2024 20:12     54K
py3-caldav-1.4.0-r0.apk                            09-Nov-2024 20:46     68K
py3-caldav-pyc-1.4.0-r0.apk                        09-Nov-2024 20:46     90K
py3-cassandra-driver-3.29.2-r0.apk                 25-Oct-2024 20:12    286K
py3-cassandra-driver-pyc-3.29.2-r0.apk             25-Oct-2024 20:12    560K
py3-catkin-pkg-0.5.2-r4.apk                        25-Oct-2024 20:12     57K
py3-catkin-pkg-pyc-0.5.2-r4.apk                    25-Oct-2024 20:12    103K
py3-cchardet-2.1.7-r5.apk                          25-Oct-2024 20:12    124K
py3-cchardet-pyc-2.1.7-r5.apk                      25-Oct-2024 20:12    3124
py3-cdio-2.1.1-r6.apk                              20-Jan-2025 20:43    102K
py3-cdio-pyc-2.1.1-r6.apk                          20-Jan-2025 20:43     43K
py3-certauth-1.3.0-r1.apk                          25-Oct-2024 20:12    8918
py3-certauth-pyc-1.3.0-r1.apk                      25-Oct-2024 20:12    9307
py3-chameleon-4.5.4-r0.apk                         25-Oct-2024 20:12     97K
py3-chameleon-pyc-4.5.4-r0.apk                     25-Oct-2024 20:12    131K
py3-ciso8601-2.3.1-r1.apk                          25-Oct-2024 20:12     16K
py3-cjkwrap-2.2-r4.apk                             25-Oct-2024 20:12    4722
py3-cjkwrap-pyc-2.2-r4.apk                         25-Oct-2024 20:12    5363
py3-class-doc-1.25-r1.apk                          25-Oct-2024 20:12    6200
py3-class-doc-pyc-1.25-r1.apk                      25-Oct-2024 20:12    8921
py3-click-completion-0.5.2-r1.apk                  25-Oct-2024 20:12     11K
py3-click-completion-pyc-0.5.2-r1.apk              25-Oct-2024 20:12     14K
py3-click-default-group-1.2.4-r1.apk               25-Oct-2024 20:12    5273
py3-click-default-group-pyc-1.2.4-r1.apk           25-Oct-2024 20:12    4635
py3-click-threading-0.5.0-r5.apk                   25-Oct-2024 20:12    6496
py3-click-threading-pyc-0.5.0-r5.apk               25-Oct-2024 20:12    8045
py3-clickclick-20.10.2-r4.apk                      25-Oct-2024 20:12    8142
py3-clickclick-pyc-20.10.2-r4.apk                  25-Oct-2024 20:12     10K
py3-cmd2-2.4.3-r2.apk                              25-Oct-2024 20:12    139K
py3-cmd2-pyc-2.4.3-r2.apk                          25-Oct-2024 20:12    223K
py3-cobs-1.2.0-r4.apk                              25-Oct-2024 20:12     19K
py3-cobs-pyc-1.2.0-r4.apk                          25-Oct-2024 20:12     12K
py3-colander-2.0-r2.apk                            25-Oct-2024 20:12     62K
py3-colander-pyc-2.0-r2.apk                        25-Oct-2024 20:12     42K
py3-colorthief-0.2.1-r1.apk                        25-Oct-2024 20:12    7490
py3-colorthief-pyc-0.2.1-r1.apk                    25-Oct-2024 20:12     10K
py3-columnize-0.3.11-r4.apk                        25-Oct-2024 20:12    8732
py3-columnize-pyc-0.3.11-r4.apk                    25-Oct-2024 20:12    7662
py3-compdb-0.2.0-r8.apk                            25-Oct-2024 20:12     23K
py3-compdb-doc-0.2.0-r8.apk                        25-Oct-2024 20:12    3114
py3-compdb-pyc-0.2.0-r8.apk                        25-Oct-2024 20:12     40K
py3-cookiecutter-2.6.0-r1.apk                      25-Oct-2024 20:12     35K
py3-cookiecutter-doc-2.6.0-r1.apk                  25-Oct-2024 20:12    3814
py3-cookiecutter-pyc-2.6.0-r1.apk                  25-Oct-2024 20:12     47K
py3-coreapi-2.3.3-r9.apk                           25-Oct-2024 20:12     22K
py3-coreapi-pyc-2.3.3-r9.apk                       25-Oct-2024 20:12     43K
py3-crc16-0.1.1-r10.apk                            25-Oct-2024 20:12     12K
py3-crc16-pyc-0.1.1-r10.apk                        25-Oct-2024 20:12    4818
py3-createrepo_c-1.1.4-r0.apk                      25-Oct-2024 20:12     42K
py3-createrepo_c-pyc-1.1.4-r0.apk                  25-Oct-2024 20:12     15K
py3-cssutils-2.11.1-r1.apk                         25-Oct-2024 20:12    155K
py3-cssutils-pyc-2.11.1-r1.apk                     25-Oct-2024 20:12    279K
py3-cstruct-5.3-r1.apk                             25-Oct-2024 20:12     22K
py3-cstruct-pyc-5.3-r1.apk                         25-Oct-2024 20:12     36K
py3-cucumber-tag-expressions-6.1.1-r0.apk          01-Dec-2024 20:13    8871
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk      01-Dec-2024 20:13     10K
py3-cvxpy-1.2.1-r5.apk                             25-Oct-2024 20:12    680K
py3-cvxpy-pyc-1.2.1-r5.apk                         25-Oct-2024 20:12    936K
py3-cython-test-exception-raiser-1.0.2-r0.apk      25-Oct-2024 20:12     18K
py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk  25-Oct-2024 20:12    1871
py3-dash-bootstrap-components-1.6.0-r0.apk         13-Apr-2025 09:22     16K
py3-dataclasses-json-0.6.7-r0.apk                  25-Oct-2024 20:12     27K
py3-dataclasses-json-pyc-0.6.7-r0.apk              25-Oct-2024 20:12     36K
py3-dataclasses-serialization-1.3.1-r3.apk         25-Oct-2024 20:12     11K
py3-dataclasses-serialization-pyc-1.3.1-r3.apk     25-Oct-2024 20:12     14K
py3-dateparser-1.2.0-r0.apk                        23-Nov-2024 04:14    197K
py3-dateparser-pyc-1.2.0-r0.apk                    23-Nov-2024 04:14    334K
py3-daterangestr-0.0.3-r8.apk                      25-Oct-2024 20:12    4456
py3-daterangestr-pyc-0.0.3-r8.apk                  25-Oct-2024 20:12    4325
py3-dbus-fast-2.33.0-r0.apk                        13-Apr-2025 22:10    609K
py3-dbus-fast-doc-2.33.0-r0.apk                    13-Apr-2025 22:10    6406
py3-dbus-fast-pyc-2.33.0-r0.apk                    13-Apr-2025 22:10    128K
py3-deluge-client-1.10.2-r0.apk                    25-Oct-2024 20:12     13K
py3-deluge-client-doc-1.10.2-r0.apk                25-Oct-2024 20:12    2308
py3-deluge-client-pyc-1.10.2-r0.apk                25-Oct-2024 20:12     20K
py3-dexml-0.5.1-r9.apk                             25-Oct-2024 20:12     22K
py3-dexml-pyc-0.5.1-r9.apk                         25-Oct-2024 20:12     37K
py3-discid-1.2.0-r6.apk                            25-Oct-2024 20:12     24K
py3-discid-pyc-1.2.0-r6.apk                        25-Oct-2024 20:12     13K
py3-distorm3-3.5.2-r6.apk                          25-Oct-2024 20:12     47K
py3-distorm3-pyc-3.5.2-r6.apk                      25-Oct-2024 20:12     49K
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk 25-Oct-2024 20:12     15K
py3-django-compress-staticfiles-pyc-1.0.1_beta0..> 25-Oct-2024 20:12     15K
py3-django-suit-0.2.28-r8.apk                      25-Oct-2024 20:12    366K
py3-django-suit-pyc-0.2.28-r8.apk                  25-Oct-2024 20:12     33K
py3-django-taggit-serializer-0.1.7-r8.apk          25-Oct-2024 20:12    4121
py3-django-taggit-serializer-pyc-0.1.7-r8.apk      25-Oct-2024 20:12    5076
py3-dnslib-0.9.25-r0.apk                           25-Oct-2024 20:12     52K
py3-dnslib-pyc-0.9.25-r0.apk                       25-Oct-2024 20:12    109K
py3-dogpile.cache-1.3.3-r0.apk                     25-Oct-2024 20:12     53K
py3-dogpile.cache-pyc-1.3.3-r0.apk                 25-Oct-2024 20:12     90K
py3-doi-0.2-r0.apk                                 12-Apr-2025 12:09    6309
py3-doi-pyc-0.2-r0.apk                             12-Apr-2025 12:09    4857
py3-doit-0.36.0-r5.apk                             25-Oct-2024 20:12     77K
py3-doit-pyc-0.36.0-r5.apk                         25-Oct-2024 20:12    133K
py3-dominate-2.9.1-r1.apk                          25-Oct-2024 20:12     25K
py3-dominate-pyc-2.9.1-r1.apk                      25-Oct-2024 20:12     34K
py3-dotty-dict-1.3.1-r4.apk                        25-Oct-2024 20:12    8599
py3-dotty-dict-pyc-1.3.1-r4.apk                    25-Oct-2024 20:12    8888
py3-downloader-cli-0.3.4-r1.apk                    25-Oct-2024 20:12     12K
py3-downloader-cli-pyc-0.3.4-r1.apk                25-Oct-2024 20:12     14K
py3-dpath-2.2.0-r0.apk                             25-Oct-2024 20:12     17K
py3-dpath-pyc-2.2.0-r0.apk                         25-Oct-2024 20:12     18K
py3-drf-yasg-1.21.7-r2.apk                         25-Oct-2024 20:12      4M
py3-drf-yasg-pyc-1.21.7-r2.apk                     25-Oct-2024 20:12     97K
py3-dt-schema-2025.02-r0.apk                       02-Mar-2025 15:25     80K
py3-dt-schema-pyc-2025.02-r0.apk                   02-Mar-2025 15:25     51K
py3-dunamai-1.23.1-r0.apk                          18-Apr-2025 10:39     26K
py3-dunamai-pyc-1.23.1-r0.apk                      18-Apr-2025 10:39     43K
py3-duniterpy-1.1.1-r3.apk                         25-Oct-2024 20:12    221K
py3-dweepy-0.3.0-r7.apk                            25-Oct-2024 20:12    9303
py3-dweepy-pyc-0.3.0-r7.apk                        25-Oct-2024 20:12    6411
py3-ecbdata-0.1.1-r0.apk                           13-Apr-2025 23:24     13K
py3-ecos-2.0.11-r4.apk                             25-Oct-2024 20:12     27K
py3-ecos-pyc-2.0.11-r4.apk                         25-Oct-2024 20:12    3720
py3-edalize-0.5.4-r0.apk                           25-Oct-2024 20:12    123K
py3-edalize-pyc-0.5.4-r0.apk                       25-Oct-2024 20:12    190K
py3-editdistance-s-1.0.0-r6.apk                    25-Oct-2024 20:12     17K
py3-editdistance-s-pyc-1.0.0-r6.apk                25-Oct-2024 20:12    2073
py3-empy-3.3.4-r7.apk                              25-Oct-2024 20:12     39K
py3-empy-pyc-3.3.4-r7.apk                          25-Oct-2024 20:12     58K
py3-enzyme-0.5.1-r0.apk                            25-Oct-2024 20:12     23K
py3-enzyme-pyc-0.5.1-r0.apk                        25-Oct-2024 20:12     19K
py3-eradicate-2.3.0-r2.apk                         25-Oct-2024 20:12    7751
py3-eradicate-doc-2.3.0-r2.apk                     25-Oct-2024 20:12    2582
py3-eradicate-pyc-2.3.0-r2.apk                     25-Oct-2024 20:12    8549
py3-euclid3-0.01-r8.apk                            25-Oct-2024 20:12     14K
py3-euclid3-pyc-0.01-r8.apk                        25-Oct-2024 20:12     33K
py3-eventlet-0.38.1-r0.apk                         11-Dec-2024 21:35    332K
py3-eventlet-pyc-0.38.1-r0.apk                     11-Dec-2024 21:35    336K
py3-evohome-client-0.3.7-r4.apk                    25-Oct-2024 20:12     19K
py3-evohome-client-pyc-0.3.7-r4.apk                25-Oct-2024 20:12     27K
py3-fastdiff-0.3.0-r5.apk                          25-Oct-2024 20:12     38K
py3-fastdiff-pyc-0.3.0-r5.apk                      25-Oct-2024 20:12    4300
py3-feedgen-1.0.0-r1.apk                           25-Oct-2024 20:12     40K
py3-feedgen-pyc-1.0.0-r1.apk                       25-Oct-2024 20:12     62K
py3-feedgenerator-2.1.0-r2.apk                     25-Oct-2024 20:12     18K
py3-feedgenerator-pyc-2.1.0-r2.apk                 25-Oct-2024 20:12     27K
py3-ffmpeg-0.2.0-r4.apk                            25-Oct-2024 20:12     24K
py3-ffmpeg-pyc-0.2.0-r4.apk                        25-Oct-2024 20:12     33K
py3-firmata-1.0.3-r10.apk                          25-Oct-2024 20:12     14K
py3-firmata-pyc-1.0.3-r10.apk                      25-Oct-2024 20:12     21K
py3-flake8-blind-except-0.2.1-r4.apk               25-Oct-2024 20:12    5327
py3-flake8-blind-except-pyc-0.2.1-r4.apk           25-Oct-2024 20:12    2651
py3-flake8-builtins-2.5.0-r0.apk                   07-Dec-2024 21:51     13K
py3-flake8-builtins-pyc-2.5.0-r0.apk               07-Dec-2024 21:51    8065
py3-flake8-copyright-0.2.4-r3.apk                  25-Oct-2024 20:12     18K
py3-flake8-copyright-pyc-0.2.4-r3.apk              25-Oct-2024 20:12    3418
py3-flake8-debugger-4.1.2-r4.apk                   25-Oct-2024 20:12    6385
py3-flake8-debugger-pyc-4.1.2-r4.apk               25-Oct-2024 20:12    6110
py3-flake8-import-order-0.18.2-r4.apk              25-Oct-2024 20:12     15K
py3-flake8-import-order-pyc-0.18.2-r4.apk          25-Oct-2024 20:12     17K
py3-flake8-isort-6.1.1-r1.apk                      25-Oct-2024 20:12     18K
py3-flake8-isort-pyc-6.1.1-r1.apk                  25-Oct-2024 20:12    5496
py3-flake8-polyfill-1.0.2-r5.apk                   25-Oct-2024 20:12    7238
py3-flake8-polyfill-pyc-1.0.2-r5.apk               25-Oct-2024 20:12    5832
py3-flake8-print-5.0.0-r5.apk                      25-Oct-2024 20:12    6883
py3-flake8-print-pyc-5.0.0-r5.apk                  25-Oct-2024 20:12    4517
py3-flake8-snippets-0.2-r8.apk                     25-Oct-2024 20:12    5452
py3-flake8-snippets-pyc-0.2-r8.apk                 25-Oct-2024 20:12    3761
py3-flake8-todo-0.7-r7.apk                         25-Oct-2024 20:12    3681
py3-flake8-todo-pyc-0.7-r7.apk                     25-Oct-2024 20:12    2282
py3-flask-accept-0.0.6-r1.apk                      25-Oct-2024 20:12    5108
py3-flask-accept-pyc-0.0.6-r1.apk                  25-Oct-2024 20:12    3790
py3-flask-admin-1.6.1-r3.apk                       25-Oct-2024 20:12      7M
py3-flask-admin-pyc-1.6.1-r3.apk                   25-Oct-2024 20:12    358K
py3-flask-autorouter-0.2.2-r3.apk                  25-Oct-2024 20:12    5208
py3-flask-autorouter-pyc-0.2.2-r3.apk              25-Oct-2024 20:12    5069
py3-flask-basicauth-0.2.0-r9.apk                   25-Oct-2024 20:12    5469
py3-flask-basicauth-pyc-0.2.0-r9.apk               25-Oct-2024 20:12    4173
py3-flask-bcrypt-1.0.1-r5.apk                      25-Oct-2024 20:12    7314
py3-flask-bcrypt-pyc-1.0.1-r5.apk                  25-Oct-2024 20:12    5943
py3-flask-bootstrap-3.3.7.1-r8.apk                 25-Oct-2024 20:12    450K
py3-flask-bootstrap-pyc-3.3.7.1-r8.apk             25-Oct-2024 20:12     11K
py3-flask-cache-0.13.1-r9.apk                      25-Oct-2024 20:12     13K
py3-flask-cache-pyc-0.13.1-r9.apk                  25-Oct-2024 20:12     18K
py3-flask-cdn-1.5.3-r8.apk                         25-Oct-2024 20:12    4873
py3-flask-cdn-pyc-1.5.3-r8.apk                     25-Oct-2024 20:12    4182
py3-flask-components-0.1.1-r9.apk                  25-Oct-2024 20:12    4023
py3-flask-components-pyc-0.1.1-r9.apk              25-Oct-2024 20:12    3385
py3-flask-dbconfig-0.3.12-r8.apk                   25-Oct-2024 20:12     86K
py3-flask-dbconfig-pyc-0.3.12-r8.apk               25-Oct-2024 20:12    6405
py3-flask-flatpages-0.8.3-r0.apk                   06-Dec-2024 22:58     11K
py3-flask-flatpages-pyc-0.8.3-r0.apk               06-Dec-2024 22:58     14K
py3-flask-gzip-0.2-r8.apk                          25-Oct-2024 20:12    3260
py3-flask-gzip-pyc-0.2-r8.apk                      25-Oct-2024 20:12    2900
py3-flask-headers-1.0-r9.apk                       25-Oct-2024 20:12    3284
py3-flask-headers-pyc-1.0-r9.apk                   25-Oct-2024 20:12    2511
py3-flask-httpauth-4.8.0-r2.apk                    25-Oct-2024 20:12    8174
py3-flask-httpauth-pyc-4.8.0-r2.apk                25-Oct-2024 20:12     11K
py3-flask-json-schema-0.0.5-r4.apk                 25-Oct-2024 20:12    4196
py3-flask-json-schema-pyc-0.0.5-r4.apk             25-Oct-2024 20:12    3519
py3-flask-limiter-3.10.1-r0.apk                    19-Jan-2025 17:13     27K
py3-flask-limiter-pyc-3.10.1-r0.apk                19-Jan-2025 17:13     47K
py3-flask-loopback-1.4.7-r7.apk                    25-Oct-2024 20:12    5705
py3-flask-loopback-pyc-1.4.7-r7.apk                25-Oct-2024 20:12    8113
py3-flask-mailman-1.1.1-r0.apk                     25-Oct-2024 20:12     16K
py3-flask-mailman-pyc-1.1.1-r0.apk                 25-Oct-2024 20:12     26K
py3-flask-markdown-0.3-r8.apk                      25-Oct-2024 20:12    5749
py3-flask-markdown-pyc-0.3-r8.apk                  25-Oct-2024 20:12    3952
py3-flask-migrate-4.0.7-r0.apk                     25-Oct-2024 20:12     13K
py3-flask-migrate-pyc-4.0.7-r0.apk                 25-Oct-2024 20:12     18K
py3-flask-paginate-0.8.1-r6.apk                    25-Oct-2024 20:12    8412
py3-flask-paginate-pyc-0.8.1-r6.apk                25-Oct-2024 20:12     11K
py3-flask-peewee-3.0.6-r0.apk                      25-Oct-2024 20:12    172K
py3-flask-peewee-pyc-3.0.6-r0.apk                  25-Oct-2024 20:12     95K
py3-flask-qrcode-3.2.0-r0.apk                      12-Dec-2024 06:32     18K
py3-flask-qrcode-pyc-3.2.0-r0.apk                  12-Dec-2024 06:32    6302
py3-flask-restaction-0.25.3-r8.apk                 25-Oct-2024 20:12    115K
py3-flask-restaction-pyc-0.25.3-r8.apk             25-Oct-2024 20:12     20K
py3-flask-restless-0.17.0-r9.apk                   25-Oct-2024 20:12     40K
py3-flask-restless-pyc-0.17.0-r9.apk               25-Oct-2024 20:12     59K
py3-flask-security-5.6.1-r0.apk                    21-Apr-2025 21:40    295K
py3-flask-security-pyc-5.6.1-r0.apk                21-Apr-2025 21:40    227K
py3-flask-themer-2.0.0-r2.apk                      25-Oct-2024 20:12    8083
py3-flask-themer-pyc-2.0.0-r2.apk                  25-Oct-2024 20:12    7140
py3-forbiddenfruit-0.1.4-r2.apk                    25-Oct-2024 20:12    9177
py3-forbiddenfruit-pyc-0.1.4-r2.apk                25-Oct-2024 20:12    9888
py3-fpdf-1.7.2-r5.apk                              25-Oct-2024 20:12     40K
py3-fpdf-pyc-1.7.2-r5.apk                          25-Oct-2024 20:12     89K
py3-freetype-py-2.5.1-r0.apk                       25-Oct-2024 20:12    161K
py3-funcparserlib-1.0.1-r4.apk                     25-Oct-2024 20:12     17K
py3-funcparserlib-pyc-1.0.1-r4.apk                 25-Oct-2024 20:12     19K
py3-furl-2.1.3-r4.apk                              25-Oct-2024 20:12     21K
py3-furl-pyc-2.1.3-r4.apk                          25-Oct-2024 20:12     32K
py3-gdcm-3.0.24-r0.apk                             25-Oct-2024 20:12    690K
py3-geoip-1.3.2-r4.apk                             25-Oct-2024 20:12     23K
py3-gevent-websocket-0.10.1-r8.apk                 25-Oct-2024 20:12     20K
py3-gevent-websocket-pyc-0.10.1-r8.apk             25-Oct-2024 20:12     30K
py3-git-versioner-7.1-r1.apk                       25-Oct-2024 20:12     12K
py3-git-versioner-pyc-7.1-r1.apk                   25-Oct-2024 20:12     14K
py3-github3-4.0.1-r1.apk                           25-Oct-2024 20:12    128K
py3-github3-pyc-4.0.1-r1.apk                       25-Oct-2024 20:12    227K
py3-glob2-0.7-r6.apk                               25-Oct-2024 20:12     10K
py3-glob2-pyc-0.7-r6.apk                           25-Oct-2024 20:12     13K
py3-gls-1.3.1-r1.apk                               25-Oct-2024 20:12     47K
py3-gls-pyc-1.3.1-r1.apk                           25-Oct-2024 20:12     84K
py3-google-trans-new-1.1.9-r2.apk                  25-Oct-2024 20:12    9450
py3-google-trans-new-pyc-1.1.9-r2.apk              25-Oct-2024 20:12     11K
py3-googletrans-3.0.0-r5.apk                       25-Oct-2024 20:12     15K
py3-googletrans-pyc-3.0.0-r5.apk                   25-Oct-2024 20:12     17K
py3-grequests-0.7.0-r2.apk                         25-Oct-2024 20:12    7012
py3-grequests-pyc-0.7.0-r2.apk                     25-Oct-2024 20:12    6002
py3-gtkspellcheck-5.0.3-r0.apk                     07-Dec-2024 20:32     45K
py3-gtkspellcheck-pyc-5.0.3-r0.apk                 07-Dec-2024 20:32     30K
py3-halo-0.0.31-r5.apk                             25-Oct-2024 20:12     11K
py3-halo-pyc-0.0.31-r5.apk                         25-Oct-2024 20:12     14K
py3-hatch-openzim-0.2.0-r0.apk                     25-Oct-2024 20:12     25K
py3-hatch-openzim-bootstrap-0.1.0-r0.apk           25-Oct-2024 20:12     24K
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk       25-Oct-2024 20:12     13K
py3-hatch-openzim-pyc-0.2.0-r0.apk                 25-Oct-2024 20:12     13K
py3-helper-2.5.0-r5.apk                            25-Oct-2024 20:12     19K
py3-helper-pyc-2.5.0-r5.apk                        25-Oct-2024 20:12     28K
py3-hfst-3.16.2-r0.apk                             29-Mar-2025 15:58    382K
py3-hg-git-1.1.1-r1.apk                            25-Oct-2024 20:12     70K
py3-hg-git-pyc-1.1.1-r1.apk                        25-Oct-2024 20:12    107K
py3-hishel-0.1.2-r0.apk                            11-Apr-2025 18:13     33K
py3-hishel-pyc-0.1.2-r0.apk                        11-Apr-2025 18:13     73K
py3-html5-parser-0.4.12-r1.apk                     25-Oct-2024 20:12    167K
py3-html5-parser-pyc-0.4.12-r1.apk                 25-Oct-2024 20:12     22K
py3-hurry.filesize-0.9-r8.apk                      25-Oct-2024 20:12    4721
py3-hurry.filesize-pyc-0.9-r8.apk                  25-Oct-2024 20:12    3333
py3-igraph-0.11.8-r0.apk                           23-Nov-2024 17:32    405K
py3-igraph-dev-0.11.8-r0.apk                       23-Nov-2024 17:32    2595
py3-igraph-pyc-0.11.8-r0.apk                       23-Nov-2024 17:32    371K
py3-imageio-2.35.1-r0.apk                          25-Oct-2024 20:12    287K
py3-imageio-ffmpeg-0.4.9-r1.apk                    25-Oct-2024 20:12     16K
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk                25-Oct-2024 20:12     20K
py3-imageio-pyc-2.35.1-r0.apk                      25-Oct-2024 20:12    504K
py3-imdbpy-2021.4.18-r5.apk                        25-Oct-2024 20:12    229K
py3-imdbpy-pyc-2021.4.18-r5.apk                    25-Oct-2024 20:12    243K
py3-incoming-0.3.1-r8.apk                          25-Oct-2024 20:12     13K
py3-incoming-pyc-0.3.1-r8.apk                      25-Oct-2024 20:12     20K
py3-infinity-1.5-r6.apk                            25-Oct-2024 20:12    4486
py3-infinity-pyc-1.5-r6.apk                        25-Oct-2024 20:12    3830
py3-iniparse-0.5-r7.apk                            25-Oct-2024 20:12     19K
py3-iniparse-doc-0.5-r7.apk                        25-Oct-2024 20:12     10K
py3-iniparse-pyc-0.5-r7.apk                        25-Oct-2024 20:12     25K
py3-intervals-0.9.2-r5.apk                         25-Oct-2024 20:12    9672
py3-intervals-pyc-0.9.2-r5.apk                     25-Oct-2024 20:12     15K
py3-ioctl-opt-1.3-r0.apk                           27-Jan-2025 21:37     12K
py3-ioctl-opt-pyc-1.3-r0.apk                       27-Jan-2025 21:37    4758
py3-irc-20.4.1-r0.apk                              25-Oct-2024 20:12     41K
py3-irc-pyc-20.4.1-r0.apk                          25-Oct-2024 20:12     71K
py3-isbnlib-3.10.14-r0.apk                         19-Jan-2025 11:55     43K
py3-isbnlib-pyc-3.10.14-r0.apk                     19-Jan-2025 11:55     67K
py3-iso639-lang-2.2.3-r0.apk                       25-Oct-2024 20:12    269K
py3-iso639-lang-pyc-2.2.3-r0.apk                   25-Oct-2024 20:12    9930
py3-itemadapter-0.10.0-r0.apk                      30-Nov-2024 20:42     11K
py3-itemadapter-pyc-0.10.0-r0.apk                  30-Nov-2024 20:42     13K
py3-itemloaders-1.3.2-r0.apk                       25-Oct-2024 20:12     13K
py3-itemloaders-pyc-1.3.2-r0.apk                   25-Oct-2024 20:12     17K
py3-iterable-io-1.0.0-r0.apk                       25-Oct-2024 20:12    6185
py3-iterable-io-pyc-1.0.0-r0.apk                   25-Oct-2024 20:12    5378
py3-itunespy-1.6-r4.apk                            25-Oct-2024 20:12     10K
py3-itunespy-pyc-1.6-r4.apk                        25-Oct-2024 20:12     15K
py3-janus-1.2.0-r0.apk                             13-Dec-2024 04:57     12K
py3-janus-pyc-1.2.0-r0.apk                         13-Dec-2024 04:57     13K
py3-jaraco.logging-3.3.0-r0.apk                    25-Oct-2024 20:12    6168
py3-jaraco.logging-pyc-3.3.0-r0.apk                25-Oct-2024 20:12    5985
py3-jaraco.path-3.7.2-r0.apk                       25-Oct-2024 20:12    7804
py3-jaraco.path-pyc-3.7.2-r0.apk                   25-Oct-2024 20:12    9749
py3-jaraco.stream-3.0.4-r0.apk                     14-Dec-2024 22:56    6874
py3-jaraco.stream-pyc-3.0.4-r0.apk                 14-Dec-2024 22:56    8272
py3-jaraco.vcs-2.4.1-r0.apk                        09-Mar-2025 08:52     10K
py3-jaraco.vcs-pyc-2.4.1-r0.apk                    09-Mar-2025 08:52     16K
py3-jaraco.versioning-1.1.0-r0.apk                 25-Oct-2024 20:12    6048
py3-jaraco.versioning-pyc-1.1.0-r0.apk             25-Oct-2024 20:12    6249
py3-json5-0.9.25-r0.apk                            30-Nov-2024 21:09     25K
py3-json5-pyc-0.9.25-r0.apk                        30-Nov-2024 21:09     29K
py3-jsonschema417-4.17.3-r1.apk                    25-Oct-2024 20:12     73K
py3-jsonschema417-pyc-4.17.3-r1.apk                25-Oct-2024 20:12    125K
py3-junit-xml-1.9-r3.apk                           25-Oct-2024 20:12    8543
py3-junit-xml-pyc-1.9-r3.apk                       25-Oct-2024 20:12    9540
py3-jupyterlab3-3.6.7-r0.apk                       19-Mar-2025 11:46     14M
py3-jupyterlab_server-2.27.3-r0.apk                19-Mar-2025 11:46    124K
py3-kazoo-0_git20211202-r4.apk                     25-Oct-2024 20:12    125K
py3-kazoo-pyc-0_git20211202-r4.apk                 25-Oct-2024 20:12    245K
py3-keepalive-0.5-r5.apk                           25-Oct-2024 20:12    9186
py3-keepalive-doc-0.5-r5.apk                       25-Oct-2024 20:12    2038
py3-keepalive-pyc-0.5-r5.apk                       25-Oct-2024 20:12     13K
py3-kerberos-1.3.1-r5.apk                          25-Oct-2024 20:12     17K
py3-kikit-1.6.0-r1.apk                             19-Mar-2025 11:46    238K
py3-kikit-pyc-1.6.0-r1.apk                         19-Mar-2025 11:46    273K
py3-landlock-1.0.0_pre4-r2.apk                     25-Oct-2024 20:12    8608
py3-landlock-pyc-1.0.0_pre4-r2.apk                 25-Oct-2024 20:12    9752
py3-langcodes-3.3.0-r2.apk                         25-Oct-2024 20:12    174K
py3-langcodes-pyc-3.3.0-r2.apk                     25-Oct-2024 20:12    110K
py3-language-data-1.3.0-r0.apk                     01-Dec-2024 20:08      5M
py3-language-data-pyc-1.3.0-r0.apk                 01-Dec-2024 20:08      3M
py3-latex2mathml-3.77.0-r1.apk                     25-Oct-2024 20:12     72K
py3-latex2mathml-pyc-3.77.0-r1.apk                 25-Oct-2024 20:12     35K
py3-lib_users-0.15-r4.apk                          25-Oct-2024 20:12     16K
py3-lib_users-pyc-0.15-r4.apk                      25-Oct-2024 20:12    9739
py3-libacl-0.7.0-r2.apk                            25-Oct-2024 20:12     26K
py3-libguestfs-1.52.0-r1.apk                       25-Oct-2024 20:12    182K
py3-libiio-0.25-r2.apk                             25-Oct-2024 20:12     13K
py3-liblarch-3.2.0-r6.apk                          08-Dec-2024 21:39     30K
py3-liblarch-pyc-3.2.0-r6.apk                      08-Dec-2024 21:39     50K
py3-libmdbx-0.10.2-r7.apk                          25-Oct-2024 20:12     28K
py3-libmdbx-pyc-0.10.2-r7.apk                      25-Oct-2024 20:12     33K
py3-libnacl-2.1.0-r1.apk                           25-Oct-2024 20:12     20K
py3-libnacl-pyc-2.1.0-r1.apk                       25-Oct-2024 20:12     30K
py3-libpyshell-0.4.1-r0.apk                        13-Apr-2025 22:16     12K
py3-libpyshell-pyc-0.4.1-r0.apk                    13-Apr-2025 22:16     18K
py3-librtmp-0.3.0-r6.apk                           25-Oct-2024 20:12     35K
py3-librtmp-pyc-0.3.0-r6.apk                       25-Oct-2024 20:12     25K
py3-limits-3.14.1-r0.apk                           25-Dec-2024 16:22     33K
py3-limits-pyc-3.14.1-r0.apk                       25-Dec-2024 16:22     71K
py3-linkify-it-py-2.0.3-r1.apk                     25-Oct-2024 20:12     21K
py3-linkify-it-py-pyc-2.0.3-r1.apk                 25-Oct-2024 20:12     23K
py3-linux-procfs-0.7.3-r0.apk                      13-Jan-2025 21:19     14K
py3-linux-procfs-pyc-0.7.3-r0.apk                  13-Jan-2025 21:19     22K
py3-litex-hub-modules-2024.04-r0.apk               25-Oct-2024 20:12    1661
py3-litex-hub-modules-pyc-2024.04-r0.apk           25-Oct-2024 20:12      1M
py3-litex-hub-pythondata-cpu-blackparrot-2024.0..> 25-Oct-2024 20:12      6M
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r..> 25-Oct-2024 20:12      2M
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r..> 25-Oct-2024 20:12    934K
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk   25-Oct-2024 20:12    500K
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk   25-Oct-2024 20:12     10M
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk   25-Oct-2024 20:12      2M
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk   25-Oct-2024 20:12    112K
py3-litex-hub-pythondata-cpu-marocchino-2024.04..> 25-Oct-2024 20:12    208K
py3-litex-hub-pythondata-cpu-microwatt-2024.04-..> 25-Oct-2024 20:12     19M
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0..> 25-Oct-2024 20:12     45K
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk 25-Oct-2024 20:12    221K
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r..> 25-Oct-2024 20:12    7737
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r..> 25-Oct-2024 20:12    230K
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk 25-Oct-2024 20:12     57M
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk   25-Oct-2024 20:12    675K
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r..> 25-Oct-2024 20:12    713K
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024...> 25-Oct-2024 20:12      2M
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0..> 25-Oct-2024 20:12     58K
py3-litex-hub-pythondata-software-compiler_rt-2..> 25-Oct-2024 20:12      2M
py3-litex-hub-pythondata-software-picolibc-2024..> 25-Oct-2024 20:12      5M
py3-litex-hub-valentyusb-2024.04-r0.apk            25-Oct-2024 20:12    112K
py3-livestream-2.1.0-r0.apk                        25-Nov-2024 22:22    766K
py3-livestream-pyc-2.1.0-r0.apk                    25-Nov-2024 22:22     30K
py3-log-symbols-0.0.14-r5.apk                      25-Oct-2024 20:12    4307
py3-log-symbols-pyc-0.0.14-r5.apk                  25-Oct-2024 20:12    3143
py3-logfury-1.0.1-r0.apk                           25-Oct-2024 20:12    8047
py3-logfury-doc-1.0.1-r0.apk                       25-Oct-2024 20:12    2440
py3-logfury-pyc-1.0.1-r0.apk                       25-Oct-2024 20:12    7273
py3-logtop-0.7-r0.apk                              25-Oct-2024 20:12     21K
py3-logtop-pyc-0.7-r0.apk                          25-Oct-2024 20:12    4158
py3-lsp-black-2.0.0-r1.apk                         25-Oct-2024 20:12    7682
py3-lsp-black-pyc-2.0.0-r1.apk                     25-Oct-2024 20:12    6525
py3-lsp-mypy-0.7.0-r0.apk                          17-Feb-2025 09:34     13K
py3-lsp-mypy-pyc-0.7.0-r0.apk                      17-Feb-2025 09:34     13K
py3-lsprotocol-2023.0.1-r1.apk                     25-Oct-2024 20:12     69K
py3-lsprotocol-pyc-2023.0.1-r1.apk                 25-Oct-2024 20:12    107K
py3-luhn-0.2.0-r9.apk                              25-Oct-2024 20:12    4075
py3-luhn-pyc-0.2.0-r9.apk                          25-Oct-2024 20:12    2693
py3-lunr-0.6.2-r4.apk                              25-Oct-2024 20:12     32K
py3-lunr-pyc-0.6.2-r4.apk                          25-Oct-2024 20:12     51K
py3-ly-0.9.8-r1.apk                                25-Oct-2024 20:12    187K
py3-ly-doc-0.9.8-r1.apk                            25-Oct-2024 20:12    8285
py3-ly-pyc-0.9.8-r1.apk                            25-Oct-2024 20:12    355K
py3-lzo-1.16-r1.apk                                25-Oct-2024 20:12     17K
py3-lzo-pyc-1.16-r1.apk                            25-Oct-2024 20:12    2016
py3-m2crypto-0.41.0-r2.apk                         25-Oct-2024 20:12    195K
py3-m2crypto-pyc-0.41.0-r2.apk                     25-Oct-2024 20:12    123K
py3-mando-0.7.1-r3.apk                             25-Oct-2024 20:12     22K
py3-mando-doc-0.7.1-r3.apk                         25-Oct-2024 20:12    4297
py3-mando-pyc-0.7.1-r3.apk                         25-Oct-2024 20:12     36K
py3-manuel-1.13.0-r0.apk                           30-Nov-2024 20:14     39K
py3-manuel-pyc-1.13.0-r0.apk                       30-Nov-2024 20:14     26K
py3-mapbox-earcut-1.0.1-r2.apk                     25-Oct-2024 20:12     63K
py3-marisa-trie-1.2.1-r0.apk                       11-Nov-2024 13:13    139K
py3-markdown2-2.5.0-r0.apk                         25-Oct-2024 20:12     47K
py3-markdown2-pyc-2.5.0-r0.apk                     25-Oct-2024 20:12     75K
py3-markdownify-1.1.0-r0.apk                       17-Apr-2025 23:03     15K
py3-markdownify-pyc-1.1.0-r0.apk                   17-Apr-2025 23:03     17K
py3-marshmallow-3.26.1-r0.apk                      21-Feb-2025 22:40     48K
py3-marshmallow-enum-1.5.1-r7.apk                  25-Oct-2024 20:12    5421
py3-marshmallow-enum-pyc-1.5.1-r7.apk              25-Oct-2024 20:12    4557
py3-marshmallow-pyc-3.26.1-r0.apk                  21-Feb-2025 22:40     85K
py3-mbedtls-2.10.1-r2.apk                          25-Oct-2024 20:12    889K
py3-mbedtls-pyc-2.10.1-r2.apk                      25-Oct-2024 20:12     27K
py3-migen-0.9.2-r2.apk                             25-Oct-2024 20:12    143K
py3-migen-pyc-0.9.2-r2.apk                         25-Oct-2024 20:12    296K
py3-milc-1.9.1-r0.apk                              25-Jan-2025 16:04     26K
py3-milc-pyc-1.9.1-r0.apk                          25-Jan-2025 16:04     42K
py3-minidb-2.0.8-r0.apk                            13-Nov-2024 18:50     10K
py3-minidb-pyc-2.0.8-r0.apk                        13-Nov-2024 18:50     23K
py3-minidump-0.0.24-r0.apk                         25-Oct-2024 20:12     65K
py3-minidump-pyc-0.0.24-r0.apk                     25-Oct-2024 20:12    130K
py3-minikerberos-0.4.4-r1.apk                      25-Oct-2024 20:12    129K
py3-minikerberos-pyc-0.4.4-r1.apk                  25-Oct-2024 20:12    264K
py3-minio-7.2.13-r0.apk                            25-Dec-2024 17:48     76K
py3-minio-pyc-7.2.13-r0.apk                        25-Dec-2024 17:48    160K
py3-mistletoe-1.4.0-r0.apk                         19-Mar-2025 11:46     45K
py3-mistletoe-pyc-1.4.0-r0.apk                     19-Mar-2025 11:46     93K
py3-mnemonic-0.21-r0.apk                           25-Oct-2024 20:12     95K
py3-mnemonic-doc-0.21-r0.apk                       25-Oct-2024 20:12    2458
py3-mnemonic-pyc-0.21-r0.apk                       25-Oct-2024 20:12    9920
py3-modbus-tk-1.1.1-r4.apk                         25-Oct-2024 20:12     25K
py3-modbus-tk-pyc-1.1.1-r4.apk                     25-Oct-2024 20:12     48K
py3-mopidy-jellyfin-1.0.4-r4.apk                   25-Oct-2024 20:12     25K
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk               25-Oct-2024 20:12     37K
py3-mopidy-local-3.3.0-r0.apk                      03-Jan-2025 14:34     28K
py3-mopidy-local-pyc-3.3.0-r0.apk                  03-Jan-2025 14:34     34K
py3-mopidy-mpd-3.3.0-r4.apk                        25-Oct-2024 20:12     46K
py3-mopidy-mpd-pyc-3.3.0-r4.apk                    25-Oct-2024 20:12     74K
py3-mopidy-tidal-0.3.2-r6.apk                      25-Oct-2024 20:12     25K
py3-mopidy-tidal-pyc-0.3.2-r6.apk                  25-Oct-2024 20:12     36K
py3-more-properties-1.1.1-r3.apk                   25-Oct-2024 20:12    7614
py3-more-properties-pyc-1.1.1-r3.apk               25-Oct-2024 20:12    8419
py3-moviepy-1.0.3-r6.apk                           25-Oct-2024 20:12     94K
py3-moviepy-pyc-1.0.3-r6.apk                       25-Oct-2024 20:12    156K
py3-msldap-0.5.14-r0.apk                           24-Feb-2025 21:15    141K
py3-msldap-pyc-0.5.14-r0.apk                       24-Feb-2025 21:15    324K
py3-mss-10.0.0-r0.apk                              14-Nov-2024 13:12     51K
py3-natpmp-1.3.2-r1.apk                            25-Oct-2024 20:12    9638
py3-natpmp-pyc-1.3.2-r1.apk                        25-Oct-2024 20:12     10K
py3-ncclient-0.6.13-r5.apk                         25-Oct-2024 20:12     68K
py3-ncclient-pyc-0.6.13-r5.apk                     25-Oct-2024 20:12    107K
py3-netifaces2-0.0.22-r0.apk                       25-Oct-2024 20:12    195K
py3-netifaces2-pyc-0.0.22-r0.apk                   25-Oct-2024 20:12    9432
py3-netmiko-4.5.0-r0.apk                           13-Feb-2025 07:33    179K
py3-netmiko-pyc-4.5.0-r0.apk                       13-Feb-2025 07:33    348K
py3-nmap-0.7.1-r4.apk                              25-Oct-2024 20:12     20K
py3-nmap-pyc-0.7.1-r4.apk                          25-Oct-2024 20:12     25K
py3-nose-timer-1.0.1-r6.apk                        25-Oct-2024 20:12    9599
py3-nose-timer-pyc-1.0.1-r6.apk                    25-Oct-2024 20:12     10K
py3-notifymail-1.1-r8.apk                          25-Oct-2024 20:12    7757
py3-notifymail-pyc-1.1-r8.apk                      25-Oct-2024 20:12    5879
py3-nptyping-2.5.0-r3.apk                          25-Oct-2024 20:12     21K
py3-nptyping-pyc-2.5.0-r3.apk                      25-Oct-2024 20:12     32K
py3-ntplib-0.4.0-r5.apk                            25-Oct-2024 20:12    7573
py3-ntplib-pyc-0.4.0-r5.apk                        25-Oct-2024 20:12    8833
py3-numpy-stl-3.2.0-r0.apk                         01-Dec-2024 02:04     21K
py3-numpy-stl-pyc-3.2.0-r0.apk                     01-Dec-2024 02:04     28K
py3-nwdiag-3.0.0-r3.apk                            25-Oct-2024 20:12      5M
py3-nwdiag-pyc-3.0.0-r3.apk                        25-Oct-2024 20:12     78K
py3-okonomiyaki-2.0.0-r0.apk                       25-Oct-2024 20:12      8M
py3-okonomiyaki-pyc-2.0.0-r0.apk                   25-Oct-2024 20:12    243K
py3-openapi-codec-1.3.2-r9.apk                     25-Oct-2024 20:12    7835
py3-openapi-codec-pyc-1.3.2-r9.apk                 25-Oct-2024 20:12     12K
py3-opendht-3.1.11-r0.apk                          27-Jan-2025 20:56    154K
py3-openssh-wrapper-0.5_git20130425-r4.apk         25-Oct-2024 20:12    8447
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk     25-Oct-2024 20:12     10K
py3-openwisp-utils-1.0.4-r4.apk                    25-Oct-2024 20:12    498K
py3-openwisp-utils-pyc-1.0.4-r4.apk                25-Oct-2024 20:12     42K
py3-orderedmultidict-1.0.1-r7.apk                  25-Oct-2024 20:12     12K
py3-orderedmultidict-pyc-1.0.1-r7.apk              25-Oct-2024 20:12     17K
py3-ovos-audio-plugin-simple-0.0.1-r0.apk          25-Oct-2024 20:12     10K
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk      25-Oct-2024 20:12    8419
py3-ovos-backend-client-1.0.0-r0.apk               25-Oct-2024 20:12     46K
py3-ovos-backend-client-pyc-1.0.0-r0.apk           25-Oct-2024 20:12     91K
py3-ovos-bus-client-1.3.4-r0.apk                   25-Apr-2025 06:18     50K
py3-ovos-bus-client-pyc-1.3.4-r0.apk               25-Apr-2025 06:18     89K
py3-ovos-classifiers-0.0.0_alpha53-r0.apk          25-Oct-2024 20:12    103K
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk      25-Oct-2024 20:12    164K
py3-ovos-config-1.2.0-r0.apk                       25-Apr-2025 06:18     46K
py3-ovos-config-pyc-1.2.0-r0.apk                   25-Apr-2025 06:18     35K
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk         25-Oct-2024 20:12    358K
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk     25-Oct-2024 20:12    437K
py3-ovos-microphone-plugin-alsa-0.1.0-r0.apk       25-Oct-2024 20:12    9221
py3-ovos-microphone-plugin-alsa-pyc-0.1.0-r0.apk   25-Oct-2024 20:12    4870
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk      25-Oct-2024 20:12    550K
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk  25-Oct-2024 20:12     86K
py3-ovos-ocp-files-plugin-0.13.1-r0.apk            25-Oct-2024 20:12     47K
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk        25-Oct-2024 20:12    104K
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk               25-Oct-2024 20:12    8268
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk           25-Oct-2024 20:12    3482
py3-ovos-ocp-news-plugin-0.0.4-r0.apk              25-Oct-2024 20:12     11K
py3-ovos-ocp-news-plugin-pyc-0.0.4-r0.apk          25-Oct-2024 20:12    9147
py3-ovos-ocp-rss-plugin-0.0.3-r0.apk               25-Oct-2024 20:12    8498
py3-ovos-ocp-rss-plugin-pyc-0.0.3-r0.apk           25-Oct-2024 20:12    3815
py3-ovos-phal-plugin-connectivity-events-0.1.1-..> 21-Nov-2024 13:32    8830
py3-ovos-phal-plugin-connectivity-events-pyc-0...> 21-Nov-2024 13:32    5134
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk            25-Oct-2024 20:12    4633
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk        25-Oct-2024 20:12    4478
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk  21-Nov-2024 13:32     95K
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-..> 21-Nov-2024 13:32     11K
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk            21-Nov-2024 13:32     12K
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk        21-Nov-2024 13:32    9891
py3-ovos-phal-plugin-system-1.3.2-r0.apk           08-Apr-2025 07:43     11K
py3-ovos-phal-plugin-system-pyc-1.3.2-r0.apk       08-Apr-2025 07:43     10K
py3-ovos-plugin-manager-0.8.6-r0.apk               08-Apr-2025 07:43     93K
py3-ovos-plugin-manager-pyc-0.8.6-r0.apk           08-Apr-2025 07:43    180K
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk     25-Oct-2024 20:12     10K
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk 25-Oct-2024 20:12    6931
py3-ovos-translate-server-plugin-0.0.0-r0.apk      25-Oct-2024 20:12    8533
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk  25-Oct-2024 20:12    4207
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk    25-Oct-2024 20:12    9739
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1..> 25-Oct-2024 20:12    5575
py3-ovos-utils-0.5.4-r0.apk                        25-Nov-2024 12:41     69K
py3-ovos-utils-pyc-0.5.4-r0.apk                    25-Nov-2024 12:41    124K
py3-ovos-workshop-3.1.1-r0.apk                     25-Nov-2024 12:41     87K
py3-ovos-workshop-pyc-3.1.1-r0.apk                 25-Nov-2024 12:41    156K
py3-owslib-0.32.1-r0.apk                           24-Jan-2025 19:03    194K
py3-owslib-pyc-0.32.1-r0.apk                       24-Jan-2025 19:03    423K
py3-pacparser-1.4.5-r1.apk                         25-Oct-2024 20:12    386K
py3-pacparser-pyc-1.4.5-r1.apk                     25-Oct-2024 20:12    4132
py3-padacioso-0.2.1-r0.apk                         25-Oct-2024 20:12     11K
py3-padacioso-pyc-0.2.1-r0.apk                     25-Oct-2024 20:12     12K
py3-pam-2.0.2-r2.apk                               25-Oct-2024 20:12     11K
py3-pam-pyc-2.0.2-r2.apk                           25-Oct-2024 20:12     13K
py3-pathvalidate-3.2.3-r0.apk                      06-Jan-2025 10:40     19K
py3-pathvalidate-pyc-3.2.3-r0.apk                  06-Jan-2025 10:40     33K
py3-pbkdf2-1.3-r7.apk                              25-Oct-2024 20:12    6447
py3-pbkdf2-pyc-1.3-r7.apk                          25-Oct-2024 20:12    7248
py3-pcbnewtransition-0.5.0-r0.apk                  19-Mar-2025 11:46    7852
py3-pcbnewtransition-pyc-0.5.0-r0.apk              19-Mar-2025 11:46    9818
py3-pdal-3.4.5-r0.apk                              05-Nov-2024 21:54    186K
py3-pdal-pyc-3.4.5-r0.apk                          05-Nov-2024 21:54     13K
py3-pelican-4.9.1-r2.apk                           25-Oct-2024 20:12    234K
py3-pelican-pyc-4.9.1-r2.apk                       25-Oct-2024 20:12    147K
py3-pep8-naming-0.14.1-r0.apk                      25-Oct-2024 20:12     10K
py3-pep8-naming-pyc-0.14.1-r0.apk                  25-Oct-2024 20:12     13K
py3-phpserialize-1.3-r8.apk                        25-Oct-2024 20:12    9062
py3-phpserialize-pyc-1.3-r8.apk                    25-Oct-2024 20:12     11K
py3-phx-class-registry-5.0.0-r0.apk                25-Oct-2024 20:12     13K
py3-phx-class-registry-doc-5.0.0-r0.apk            25-Oct-2024 20:12    2274
py3-phx-class-registry-pyc-5.0.0-r0.apk            25-Oct-2024 20:12     17K
py3-piccata-2.0.3-r1.apk                           25-Oct-2024 20:12     20K
py3-piccata-pyc-2.0.3-r1.apk                       25-Oct-2024 20:12     34K
py3-pickle-secure-0.99.9-r1.apk                    25-Oct-2024 20:12    7681
py3-pickle-secure-pyc-0.99.9-r1.apk                25-Oct-2024 20:12    5485
py3-pika-1.3.2-r1.apk                              25-Oct-2024 20:12    143K
py3-pika-pyc-1.3.2-r1.apk                          25-Oct-2024 20:12    246K
py3-pillow_heif-0.18.0-r0.apk                      25-Oct-2024 20:12     52K
py3-pillow_heif-pyc-0.18.0-r0.apk                  25-Oct-2024 20:12     36K
py3-pip-system-certs-4.0-r1.apk                    25-Oct-2024 20:12    7155
py3-pip-system-certs-pyc-4.0-r1.apk                25-Oct-2024 20:12    4766
py3-pivy-0.6.9-r2.apk                              23-Nov-2024 22:49      2M
py3-playsound-1.3.0-r1.apk                         25-Oct-2024 20:12    7028
py3-playsound-pyc-1.3.0-r1.apk                     25-Oct-2024 20:12    8751
py3-plotly-5.24.1-r2.apk                           18-Apr-2025 22:10     20M
py3-pltable-1.1.0-r1.apk                           13-Nov-2024 06:38     19K
py3-pltable-pyc-1.1.0-r1.apk                       13-Nov-2024 06:38     33K
py3-pockethernet-0.7.0-r4.apk                      25-Oct-2024 20:12     15K
py3-pockethernet-pyc-0.7.0-r4.apk                  25-Oct-2024 20:12     25K
py3-poetry-dynamic-versioning-1.7.1-r0.apk         29-Jan-2025 06:49     20K
py3-poetry-dynamic-versioning-pyc-1.7.1-r0.apk     29-Jan-2025 06:49     25K
py3-poppler-qt5-21.3.0-r2.apk                      29-Jan-2025 19:46    119K
py3-ppk2-api-0.9.2-r0.apk                          30-Nov-2024 21:09     16K
py3-ppk2-api-pyc-0.9.2-r0.apk                      30-Nov-2024 21:09     17K
py3-pprintpp-0.4.0-r1.apk                          25-Oct-2024 20:12     14K
py3-pprintpp-pyc-0.4.0-r1.apk                      25-Oct-2024 20:12     16K
py3-print-color-0.4.6-r0.apk                       25-Oct-2024 20:12    9074
py3-print-color-doc-0.4.6-r0.apk                   25-Oct-2024 20:12    2685
py3-print-color-pyc-0.4.6-r0.apk                   25-Oct-2024 20:12    5086
py3-proglog-0.1.10-r2.apk                          25-Oct-2024 20:12    7557
py3-proglog-pyc-0.1.10-r2.apk                      25-Oct-2024 20:12     10K
py3-protego-0.3.1-r0.apk                           30-Nov-2024 20:18    9565
py3-protego-pyc-0.3.1-r0.apk                       30-Nov-2024 20:18     12K
py3-proxmoxer-2.2.0-r0.apk                         16-Dec-2024 11:36     17K
py3-proxmoxer-pyc-2.2.0-r0.apk                     16-Dec-2024 11:36     28K
py3-pure_protobuf-3.1.2-r0.apk                     25-Oct-2024 20:12     21K
py3-pure_protobuf-pyc-3.1.2-r0.apk                 25-Oct-2024 20:12     38K
py3-py-radix-0.10.0-r10.apk                        25-Oct-2024 20:12     20K
py3-py-radix-pyc-0.10.0-r10.apk                    25-Oct-2024 20:12     11K
py3-pyatem-0.5.0-r4.apk                            25-Oct-2024 20:12     53K
py3-pyatem-pyc-0.5.0-r4.apk                        25-Oct-2024 20:12     92K
py3-pyautogui-0.9.53-r5.apk                        25-Oct-2024 20:12     35K
py3-pyautogui-pyc-0.9.53-r5.apk                    25-Oct-2024 20:12     45K
py3-pybars3-0.9.7-r6.apk                           25-Oct-2024 20:12     15K
py3-pybars3-pyc-0.9.7-r6.apk                       25-Oct-2024 20:12     17K
py3-pycaption-2.2.15-r0.apk                        25-Oct-2024 20:12    360K
py3-pycolorterm-0.2.1-r6.apk                       25-Oct-2024 20:12    5589
py3-pycolorterm-pyc-0.2.1-r6.apk                   25-Oct-2024 20:12    3817
py3-pycosat-0.6.6-r2.apk                           25-Oct-2024 20:12     46K
py3-pydes-2.0.1-r5.apk                             25-Oct-2024 20:12     11K
py3-pydes-doc-2.0.1-r5.apk                         25-Oct-2024 20:12    3731
py3-pydes-pyc-2.0.1-r5.apk                         25-Oct-2024 20:12     13K
py3-pygelbooru-0.5.0-r4.apk                        25-Oct-2024 20:12    8345
py3-pygelbooru-pyc-0.5.0-r4.apk                    25-Oct-2024 20:12     11K
py3-pygfm-2.0.0-r2.apk                             25-Oct-2024 20:12     13K
py3-pygfm-pyc-2.0.0-r2.apk                         25-Oct-2024 20:12     13K
py3-pyglet-2.1.0-r0.apk                            12-Jan-2025 09:01    870K
py3-pyglet-pyc-2.1.0-r0.apk                        12-Jan-2025 09:01      2M
py3-pyglm-2.7.3-r0.apk                             06-Nov-2024 09:41      1M
py3-pygpgme-0.3.1-r9.apk                           25-Oct-2024 20:12     37K
py3-pygpgme-pyc-0.3.1-r9.apk                       25-Oct-2024 20:12    5189
py3-pygtail-0.14.0-r3.apk                          25-Oct-2024 20:12     15K
py3-pygtail-pyc-0.14.0-r3.apk                      25-Oct-2024 20:12     10K
py3-pyinstaller-6.6.0-r0.apk                       25-Oct-2024 20:12      2M
py3-pyinstaller-pyc-6.6.0-r0.apk                   25-Oct-2024 20:12    502K
py3-pyinstrument-5.0.1-r0.apk                      24-Jan-2025 08:19    108K
py3-pyinstrument-pyc-5.0.1-r0.apk                  24-Jan-2025 08:19    100K
py3-pyisbn-1.3.1-r3.apk                            25-Oct-2024 20:12     20K
py3-pyisbn-pyc-1.3.1-r3.apk                        25-Oct-2024 20:12    9634
py3-pylru-1.2.1-r1.apk                             25-Oct-2024 20:12     17K
py3-pylru-pyc-1.2.1-r1.apk                         25-Oct-2024 20:12    9162
py3-pymaging-0.0.20130908-r10.apk                  25-Oct-2024 20:12     18K
py3-pymaging-png-0.0.20130727-r10.apk              25-Oct-2024 20:12     35K
py3-pymaging-png-pyc-0.0.20130727-r10.apk          25-Oct-2024 20:12     52K
py3-pymaging-pyc-0.0.20130908-r10.apk              25-Oct-2024 20:12     32K
py3-pymata-2.20-r4.apk                             25-Oct-2024 20:12     22K
py3-pymata-pyc-2.20-r4.apk                         25-Oct-2024 20:12     29K
py3-pymata4-1.15-r4.apk                            25-Oct-2024 20:12     23K
py3-pymata4-pyc-1.15-r4.apk                        25-Oct-2024 20:12     31K
py3-pymeta3-0.5.1-r6.apk                           25-Oct-2024 20:12     17K
py3-pymeta3-pyc-0.5.1-r6.apk                       25-Oct-2024 20:12     32K
py3-pymsgbox-1.0.9-r5.apk                          25-Oct-2024 20:12    9379
py3-pymsgbox-pyc-1.0.9-r5.apk                      25-Oct-2024 20:12     10K
py3-pymsteams-0.2.5-r0.apk                         17-Feb-2025 09:34     12K
py3-pymsteams-pyc-0.2.5-r0.apk                     17-Feb-2025 09:34    6697
py3-pynest2d-5.2.2-r5.apk                          06-Feb-2025 04:49    283K
py3-pyparted-3.13.0-r1.apk                         25-Oct-2024 20:12     77K
py3-pyparted-pyc-3.13.0-r1.apk                     25-Oct-2024 20:12     42K
py3-pypubsub-4.0.3-r0.apk                          25-Oct-2024 20:12     52K
py3-pypubsub-doc-4.0.3-r0.apk                      25-Oct-2024 20:12    2190
py3-pypubsub-pyc-4.0.3-r0.apk                      25-Oct-2024 20:12     90K
py3-pyqrcode-1.2.1-r0.apk                          25-Oct-2024 20:12     37K
py3-pyqrcode-doc-1.2.1-r0.apk                      25-Oct-2024 20:12    4406
py3-pyqrcode-pyc-1.2.1-r0.apk                      25-Oct-2024 20:12     47K
py3-pyrebase-3.0.27-r5.apk                         25-Oct-2024 20:12    9854
py3-pyrebase-pyc-3.0.27-r5.apk                     25-Oct-2024 20:12     18K
py3-pyroma-4.2-r0.apk                              25-Oct-2024 20:12     22K
py3-pyroma-pyc-4.2-r0.apk                          25-Oct-2024 20:12     26K
py3-pyscreeze-0.1.29-r3.apk                        25-Oct-2024 20:12     14K
py3-pyscreeze-pyc-0.1.29-r3.apk                    25-Oct-2024 20:12     14K
py3-pysequoia-0.1.20-r3.apk                        25-Oct-2024 20:12      2M
py3-pysequoia-pyc-0.1.20-r3.apk                    25-Oct-2024 20:12    1925
py3-pysimplesoap-1.16.2-r7.apk                     25-Oct-2024 20:12     44K
py3-pysimplesoap-pyc-1.16.2-r7.apk                 25-Oct-2024 20:12     78K
py3-pysonic-1.0.3-r0.apk                           16-Apr-2025 22:35     35K
py3-pysonic-pyc-1.0.3-r0.apk                       16-Apr-2025 22:35     32K
py3-pyspinel-1.0.3-r1.apk                          25-Oct-2024 20:12     56K
py3-pyspinel-pyc-1.0.3-r1.apk                      25-Oct-2024 20:12     63K
py3-pysrt-1.1.2-r4.apk                             25-Oct-2024 20:12     26K
py3-pysrt-pyc-1.1.2-r4.apk                         25-Oct-2024 20:12     23K
py3-pystache-0.6.5-r1.apk                          25-Oct-2024 20:12     68K
py3-pystache-pyc-0.6.5-r1.apk                      25-Oct-2024 20:12     97K
py3-pysubs2-1.8.0-r0.apk                           25-Dec-2024 22:09     36K
py3-pysubs2-pyc-1.8.0-r0.apk                       25-Dec-2024 22:09     68K
py3-pytaglib-3.0.0-r0.apk                          26-Jan-2025 19:50     41K
py3-pytaglib-pyc-3.0.0-r0.apk                      26-Jan-2025 19:50    2940
py3-pytap2-2.3.0-r0.apk                            25-Oct-2024 20:12    7139
py3-pytap2-doc-2.3.0-r0.apk                        25-Oct-2024 20:12    2862
py3-pytap2-pyc-2.3.0-r0.apk                        25-Oct-2024 20:12    6129
py3-pyte-0.8.2-r2.apk                              25-Oct-2024 20:12     30K
py3-pyte-pyc-0.8.2-r2.apk                          25-Oct-2024 20:12     39K
py3-pytest-datadir-1.6.1-r0.apk                    17-Apr-2025 18:04    6011
py3-pytest-datadir-pyc-1.6.1-r0.apk                17-Apr-2025 18:04    3770
py3-pytest-expect-1.1.0-r10.apk                    25-Oct-2024 20:12    6035
py3-pytest-expect-pyc-1.1.0-r10.apk                25-Oct-2024 20:12    7121
py3-pytest-home-0.6.0-r0.apk                       25-Oct-2024 20:12    4641
py3-pytest-home-pyc-0.6.0-r0.apk                   25-Oct-2024 20:12    2867
py3-pytest-html-4.1.1-r1.apk                       25-Oct-2024 20:12     22K
py3-pytest-html-pyc-4.1.1-r1.apk                   25-Oct-2024 20:12     22K
py3-pytest-metadata-3.1.1-r0.apk                   25-Oct-2024 20:12     10K
py3-pytest-metadata-pyc-3.1.1-r0.apk               25-Oct-2024 20:12    8085
py3-pytest-regtest-2.3.2-r1.apk                    17-Feb-2025 09:34     16K
py3-pytest-regtest-pyc-2.3.2-r1.apk                17-Feb-2025 09:34     30K
py3-pytest-subprocess-1.5.2-r0.apk                 25-Oct-2024 20:12     20K
py3-pytest-subprocess-pyc-1.5.2-r0.apk             25-Oct-2024 20:12     25K
py3-python-archive-0.2-r7.apk                      25-Oct-2024 20:12    7575
py3-python-archive-pyc-0.2-r7.apk                  25-Oct-2024 20:12    9574
py3-python-iptables-1.0.1-r1.apk                   25-Oct-2024 20:12     39K
py3-python-iptables-pyc-1.0.1-r1.apk               25-Oct-2024 20:12     68K
py3-python-logstash-0.4.8-r4.apk                   25-Oct-2024 20:12    8809
py3-python-logstash-doc-0.4.8-r4.apk               25-Oct-2024 20:12    2314
py3-python-logstash-pyc-0.4.8-r4.apk               25-Oct-2024 20:12    8615
py3-python-stdnum-1.20-r0.apk                      25-Oct-2024 20:12    806K
py3-python-stdnum-pyc-1.20-r0.apk                  25-Oct-2024 20:12    293K
py3-pyvcd-0.4.1-r0.apk                             11-Nov-2024 21:46     23K
py3-pyvcd-pyc-0.4.1-r0.apk                         11-Nov-2024 21:46     40K
py3-pyzor-1.0.0-r11.apk                            25-Oct-2024 20:12     40K
py3-pyzor-pyc-1.0.0-r11.apk                        25-Oct-2024 20:12     54K
py3-qasync-0.19.0-r2.apk                           25-Oct-2024 20:12     37K
py3-qgis-3.34.15-r1.apk                            11-Apr-2025 18:13     20M
py3-qpageview-0.6.2-r1.apk                         25-Oct-2024 20:12     98K
py3-qpageview-doc-0.6.2-r1.apk                     25-Oct-2024 20:12     56K
py3-qpageview-pyc-0.6.2-r1.apk                     25-Oct-2024 20:12    180K
py3-qt.py-1.3.10-r1.apk                            25-Oct-2024 20:12     33K
py3-qt.py-pyc-1.3.10-r1.apk                        25-Oct-2024 20:12     25K
py3-quebra-frases-0.3.7-r1.apk                     25-Oct-2024 20:12    9026
py3-quebra-frases-pyc-0.3.7-r1.apk                 25-Oct-2024 20:12    8002
py3-queuelib-1.7.0-r0.apk                          25-Oct-2024 20:12     13K
py3-queuelib-pyc-1.7.0-r0.apk                      25-Oct-2024 20:12     25K
py3-rabbit-1.1.0-r8.apk                            25-Oct-2024 20:12     11K
py3-rabbit-pyc-1.1.0-r8.apk                        25-Oct-2024 20:12     15K
py3-radon-6.0.1-r2.apk                             25-Oct-2024 20:12     32K
py3-radon-doc-6.0.1-r2.apk                         25-Oct-2024 20:12    5265
py3-radon-pyc-6.0.1-r2.apk                         25-Oct-2024 20:12     50K
py3-recommonmark-0.7.1-r4.apk                      25-Oct-2024 20:12     12K
py3-recommonmark-pyc-0.7.1-r4.apk                  25-Oct-2024 20:12     18K
py3-recurring-ical-events-3.7.0-r0.apk             23-Apr-2025 21:27     49K
py3-recurring-ical-events-pyc-3.7.0-r0.apk         23-Apr-2025 21:27     48K
py3-redmine-2.5.0-r0.apk                           25-Oct-2024 20:12     37K
py3-redmine-pyc-2.5.0-r0.apk                       25-Oct-2024 20:12     54K
py3-remind-0.19.2-r0.apk                           23-Apr-2025 21:27     24K
py3-remind-pyc-0.19.2-r0.apk                       23-Apr-2025 21:27     23K
py3-requests-cache-1.2.1-r1.apk                    18-Nov-2024 18:00     50K
py3-requests-cache-pyc-1.2.1-r1.apk                18-Nov-2024 18:00     94K
py3-requests-kerberos-0.15.0-r0.apk                01-Dec-2024 17:24     12K
py3-requests-kerberos-pyc-0.15.0-r0.apk            01-Dec-2024 17:24     11K
py3-requests-wsgi-adapter-0.4.1-r1.apk             25-Oct-2024 20:12    5645
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk         25-Oct-2024 20:12    6757
py3-rfc-bibtex-0.3.2-r7.apk                        25-Oct-2024 20:12     13K
py3-rfc-bibtex-pyc-0.3.2-r7.apk                    25-Oct-2024 20:12     12K
py3-rfc3987-1.3.8-r6.apk                           25-Oct-2024 20:12     21K
py3-rfc3987-pyc-1.3.8-r6.apk                       25-Oct-2024 20:12     11K
py3-rich-click-1.7.3-r1.apk                        25-Oct-2024 20:12     31K
py3-rich-click-pyc-1.7.3-r1.apk                    25-Oct-2024 20:12     40K
py3-riotctrl-0.5.0-r4.apk                          25-Oct-2024 20:12     13K
py3-riotctrl-pyc-0.5.0-r4.apk                      25-Oct-2024 20:12     11K
py3-rosdistro-0.9.0-r3.apk                         25-Oct-2024 20:12     47K
py3-rosdistro-pyc-0.9.0-r3.apk                     25-Oct-2024 20:12     91K
py3-rospkg-1.2.9-r5.apk                            25-Oct-2024 20:12     29K
py3-rospkg-pyc-1.2.9-r5.apk                        25-Oct-2024 20:12     54K
py3-rpio-0.10.1-r8.apk                             25-Oct-2024 20:12     37K
py3-rpio-pyc-0.10.1-r8.apk                         25-Oct-2024 20:12     16K
py3-rst-0.1-r9.apk                                 25-Oct-2024 20:12    5696
py3-rst-pyc-0.1-r9.apk                             25-Oct-2024 20:12    6256
py3-rst.linker-2.6.0-r0.apk                        25-Oct-2024 20:12    6238
py3-rst.linker-pyc-2.6.0-r0.apk                    25-Oct-2024 20:12    6808
py3-rst2ansi-0.1.5-r0.apk                          25-Oct-2024 20:12     12K
py3-rst2ansi-doc-0.1.5-r0.apk                      25-Oct-2024 20:12    2293
py3-rst2ansi-pyc-0.1.5-r0.apk                      25-Oct-2024 20:12     24K
py3-rtree-1.3.0-r0.apk                             04-Jan-2025 05:20     25K
py3-rtree-pyc-1.3.0-r0.apk                         04-Jan-2025 05:20     44K
py3-schema-0.7.5-r4.apk                            25-Oct-2024 20:12     18K
py3-schema-pyc-0.7.5-r4.apk                        25-Oct-2024 20:12     18K
py3-scour-0.38.2-r1.apk                            25-Oct-2024 20:12     56K
py3-scour-pyc-0.38.2-r1.apk                        25-Oct-2024 20:12     74K
py3-scrapy-2.11.1-r1.apk                           25-Oct-2024 20:12    240K
py3-scrapy-pyc-2.11.1-r1.apk                       25-Oct-2024 20:12    482K
py3-scs-3.2.3-r4.apk                               25-Oct-2024 20:12    139K
py3-scs-pyc-3.2.3-r4.apk                           25-Oct-2024 20:12    5043
py3-seqdiag-3.0.0-r5.apk                           25-Oct-2024 20:12      2M
py3-seqdiag-pyc-3.0.0-r5.apk                       25-Oct-2024 20:12     42K
py3-setuptools-lint-0.6.0-r9.apk                   25-Oct-2024 20:12    5555
py3-setuptools-lint-pyc-0.6.0-r9.apk               25-Oct-2024 20:12    6148
py3-sh-2.1.0-r0.apk                                01-Nov-2024 15:14     38K
py3-sh-pyc-2.1.0-r0.apk                            01-Nov-2024 15:14     55K
py3-shodan-1.31.0-r1.apk                           25-Oct-2024 20:12     44K
py3-shodan-doc-1.31.0-r1.apk                       25-Oct-2024 20:12    7372
py3-shodan-pyc-1.31.0-r1.apk                       25-Oct-2024 20:12     79K
py3-simber-0.2.6-r4.apk                            25-Oct-2024 20:12     12K
py3-simber-pyc-0.2.6-r4.apk                        25-Oct-2024 20:12     16K
py3-simplematch-1.4-r1.apk                         25-Oct-2024 20:12    8190
py3-simplematch-pyc-1.4-r1.apk                     25-Oct-2024 20:12    5938
py3-simplesat-0.8.2-r0.apk                         25-Oct-2024 20:12    214K
py3-simplesat-pyc-0.8.2-r0.apk                     25-Oct-2024 20:12    157K
py3-simplesoapy-1.5.1-r7.apk                       25-Oct-2024 20:12    8053
py3-simplesoapy-pyc-1.5.1-r7.apk                   25-Oct-2024 20:12     12K
py3-simplespectral-1.0.0-r5.apk                    25-Oct-2024 20:12    7682
py3-simplespectral-pyc-1.0.0-r5.apk                25-Oct-2024 20:12    8276
py3-slidge-style-parser-0.1.9-r0.apk               12-Apr-2025 06:04    222K
py3-slidge-style-parser-pyc-0.1.9-r0.apk           12-Apr-2025 06:04    2016
py3-slixmpp-1.8.5-r2.apk                           25-Oct-2024 20:12    383K
py3-slixmpp-doc-1.8.5-r2.apk                       25-Oct-2024 20:12    5949
py3-slixmpp-pyc-1.8.5-r2.apk                       25-Oct-2024 20:12    729K
py3-snapshottest-0.6.0-r5.apk                      25-Oct-2024 20:12     15K
py3-snapshottest-pyc-0.6.0-r5.apk                  25-Oct-2024 20:12     26K
py3-soappy-0.52.30-r0.apk                          02-Dec-2024 22:53     47K
py3-soappy-pyc-0.52.30-r0.apk                      02-Dec-2024 22:53     95K
py3-soapy_power-1.6.1-r5.apk                       25-Oct-2024 20:12     17K
py3-soapy_power-pyc-1.6.1-r5.apk                   25-Oct-2024 20:12     27K
py3-solidpython-1.1.2-r2.apk                       25-Oct-2024 20:12     79K
py3-solidpython-pyc-1.1.2-r2.apk                   25-Oct-2024 20:12    120K
py3-sortedcollections-2.1.0-r5.apk                 25-Oct-2024 20:12     11K
py3-sortedcollections-pyc-2.1.0-r5.apk             25-Oct-2024 20:12     14K
py3-spake2-0.9-r0.apk                              25-Oct-2024 20:12     30K
py3-spake2-pyc-0.9-r0.apk                          25-Oct-2024 20:12     44K
py3-sphinx-argparse-0.5.2-r0.apk                   25-Oct-2024 20:12     14K
py3-sphinx-argparse-pyc-0.5.2-r0.apk               25-Oct-2024 20:12     22K
py3-sphinx-theme-better-0.1.5-r7.apk               25-Oct-2024 20:12     11K
py3-sphinx-theme-better-pyc-0.1.5-r7.apk           25-Oct-2024 20:12    2032
py3-sphinx-theme-bootstrap-0.8.1-r4.apk            25-Oct-2024 20:12      1M
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk        25-Oct-2024 20:12    2402
py3-sphinx-theme-bw-0.1.8-r7.apk                   25-Oct-2024 20:12     65K
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk               25-Oct-2024 20:12    1881
py3-sphinx-theme-cloud-1.10.0-r2.apk               25-Oct-2024 20:12     81K
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk           25-Oct-2024 20:12     43K
py3-sphinx-theme-epfl-1.1.1-r9.apk                 25-Oct-2024 20:12     30K
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk             25-Oct-2024 20:12    2530
py3-sphinx-theme-guzzle-0.7.11-r7.apk              25-Oct-2024 20:12      2M
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk          25-Oct-2024 20:12    5641
py3-sphinx-theme-readable-1.3.0-r9.apk             25-Oct-2024 20:12    9028
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk         25-Oct-2024 20:12    2262
py3-sphinxcontrib-actdiag-3.0.0-r4.apk             25-Oct-2024 20:12    7815
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk         25-Oct-2024 20:12    9501
py3-sphinxcontrib-adadomain-0.2-r9.apk             25-Oct-2024 20:12    9222
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk         25-Oct-2024 20:12     12K
py3-sphinxcontrib-bitbucket-1.0-r8.apk             25-Oct-2024 20:12    5812
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk         25-Oct-2024 20:12    4186
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk           25-Oct-2024 20:12    7724
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk       25-Oct-2024 20:12    9372
py3-sphinxcontrib-cacoo-2.0.0-r7.apk               25-Oct-2024 20:12    5867
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk           25-Oct-2024 20:12    4353
py3-sphinxcontrib-cartouche-1.1.2-r7.apk           25-Oct-2024 20:12     18K
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk       25-Oct-2024 20:12     34K
py3-sphinxcontrib-doxylink-1.12.3-r0.apk           06-Dec-2024 22:58     12K
py3-sphinxcontrib-doxylink-pyc-1.12.3-r0.apk       06-Dec-2024 22:58     16K
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk             25-Oct-2024 20:12    9337
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk         25-Oct-2024 20:12    3565
py3-sphinxcontrib-gist-0.1.0-r9.apk                25-Oct-2024 20:12    4005
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk            25-Oct-2024 20:12    3412
py3-sphinxcontrib-git-11.0.0-r7.apk                25-Oct-2024 20:12     17K
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk            25-Oct-2024 20:12    6782
py3-sphinxcontrib-gravatar-0.1.2-r8.apk            25-Oct-2024 20:12    8052
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk        25-Oct-2024 20:12    7803
py3-sphinxcontrib-htsql-0.1.5-r8.apk               25-Oct-2024 20:12     11K
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk           25-Oct-2024 20:12     15K
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk          25-Oct-2024 20:12     18K
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk     25-Oct-2024 20:12    4439
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk      25-Oct-2024 20:12     34K
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1..> 25-Oct-2024 20:12     21K
py3-sphinxcontrib-hydomain-pyc-0.1.0_git2023093..> 25-Oct-2024 20:12     44K
py3-sphinxcontrib-inheritance-0.9.0-r9.apk         25-Oct-2024 20:12     11K
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk     25-Oct-2024 20:12     15K
py3-sphinxcontrib-issuetracker-0.11-r7.apk         25-Oct-2024 20:12     11K
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk     25-Oct-2024 20:12     12K
py3-sphinxcontrib-lassodomain-0.4-r8.apk           25-Oct-2024 20:12    8111
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk       25-Oct-2024 20:12     11K
py3-sphinxcontrib-manpage-0.6-r8.apk               25-Oct-2024 20:12    4237
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk           25-Oct-2024 20:12    3223
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk              25-Oct-2024 20:12    8925
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk          25-Oct-2024 20:12     11K
py3-sphinxcontrib-phpdomain-0.12.0-r0.apk          25-Oct-2024 20:12     11K
py3-sphinxcontrib-phpdomain-pyc-0.12.0-r0.apk      25-Oct-2024 20:12     17K
py3-sphinxcontrib-programoutput-0.17-r5.apk        25-Oct-2024 20:12     16K
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk    25-Oct-2024 20:12     24K
py3-sphinxcontrib-restbuilder-0.3-r6.apk           25-Oct-2024 20:12     11K
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk       25-Oct-2024 20:12     20K
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk             25-Oct-2024 20:12    7832
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk         25-Oct-2024 20:12    9520
py3-sphinxcontrib-slide-1.0.0-r3.apk               25-Oct-2024 20:12    5115
py3-sphinxcontrib-slide-pyc-1.0.0-r3.apk           25-Oct-2024 20:12    5681
py3-sphinxcontrib-spelling-8.0.0-r3.apk            25-Oct-2024 20:12     15K
py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk        25-Oct-2024 20:12     19K
py3-sphinxcontrib-sqltable-2.0.0-r8.apk            25-Oct-2024 20:12    7519
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk        25-Oct-2024 20:12    5048
py3-sphinxcontrib-textstyle-0.2.3-r8.apk           25-Oct-2024 20:12    6256
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk       25-Oct-2024 20:12    5788
py3-spidev-3.6-r1.apk                              25-Oct-2024 20:12     14K
py3-spin-0.8-r0.apk                                25-Oct-2024 20:12     19K
py3-spin-pyc-0.8-r0.apk                            25-Oct-2024 20:12     24K
py3-spinners-0.0.24-r5.apk                         25-Oct-2024 20:12    6238
py3-spinners-pyc-0.0.24-r5.apk                     25-Oct-2024 20:12    6399
py3-spnego-0.11.2-r0.apk                           16-Jan-2025 07:52    118K
py3-spnego-pyc-0.11.2-r0.apk                       16-Jan-2025 07:52    219K
py3-spotipy-2.24.0-r1.apk                          25-Oct-2024 20:12     30K
py3-spotipy-pyc-2.24.0-r1.apk                      25-Oct-2024 20:12     50K
py3-sqlmodel-0.0.22-r1.apk                         06-Dec-2024 23:03     26K
py3-sqlmodel-pyc-0.0.22-r1.apk                     06-Dec-2024 23:03     41K
py3-sssd-2.10.2-r1.apk                             21-Mar-2025 19:33     59K
py3-sssd-pyc-2.10.2-r1.apk                         21-Mar-2025 19:33     49K
py3-sstash-0.17-r9.apk                             25-Oct-2024 20:12    7912
py3-sstash-pyc-0.17-r9.apk                         25-Oct-2024 20:12     10K
py3-svgpath-6.3-r3.apk                             25-Oct-2024 20:12     17K
py3-svgpath-pyc-6.3-r3.apk                         25-Oct-2024 20:12     22K
py3-swagger-ui-bundle-1.1.0-r1.apk                 25-Oct-2024 20:12      2M
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk             25-Oct-2024 20:12    2160
py3-synapse-auto-accept-invite-1.2.0-r0.apk        25-Oct-2024 20:12     10K
py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk    25-Oct-2024 20:12    5733
py3-tailer-0.4.1-r7.apk                            25-Oct-2024 20:12    7033
py3-tailer-pyc-0.4.1-r7.apk                        25-Oct-2024 20:12    6791
py3-tasklib-2.5.1-r2.apk                           25-Oct-2024 20:12     23K
py3-tasklib-pyc-2.5.1-r2.apk                       25-Oct-2024 20:12     52K
py3-telegram-0.18.0-r3.apk                         25-Oct-2024 20:12     14K
py3-telegram-bot-21.10-r0.apk                      17-Feb-2025 09:34    454K
py3-telegram-bot-pyc-21.10-r0.apk                  17-Feb-2025 09:34    724K
py3-telegram-pyc-0.18.0-r3.apk                     25-Oct-2024 20:12     21K
py3-telegram-text-0.2.0-r1.apk                     25-Oct-2024 20:12    9413
py3-telegram-text-pyc-0.2.0-r1.apk                 25-Oct-2024 20:12     13K
py3-telemetrix-1.20-r3.apk                         25-Oct-2024 20:12     21K
py3-telemetrix-pyc-1.20-r3.apk                     25-Oct-2024 20:12     31K
py3-teletype-1.3.4-r3.apk                          25-Oct-2024 20:12     15K
py3-teletype-pyc-1.3.4-r3.apk                      25-Oct-2024 20:12     21K
py3-testresources-2.0.1-r6.apk                     25-Oct-2024 20:12     17K
py3-testresources-pyc-2.0.1-r6.apk                 25-Oct-2024 20:12     16K
py3-textual-0.87.1-r0.apk                          07-Dec-2024 20:23    567K
py3-textual-pyc-0.87.1-r0.apk                      07-Dec-2024 20:23      1M
py3-tg-0.19.0-r5.apk                               25-Oct-2024 20:12     73K
py3-tg-pyc-0.19.0-r5.apk                           25-Oct-2024 20:12     81K
py3-thefuzz-0.22.1-r1.apk                          25-Oct-2024 20:12     10K
py3-thefuzz-pyc-0.22.1-r1.apk                      25-Oct-2024 20:12    9163
py3-ticket-auth-0.1.4-r9.apk                       25-Oct-2024 20:12    6098
py3-ticket-auth-pyc-0.1.4-r9.apk                   25-Oct-2024 20:12    6527
py3-tidalapi-0.7.4-r1.apk                          25-Oct-2024 20:12     36K
py3-tidalapi-pyc-0.7.4-r1.apk                      25-Oct-2024 20:12     62K
py3-timeago-1.0.16-r0.apk                          25-Oct-2024 20:12     24K
py3-timeago-doc-1.0.16-r0.apk                      25-Oct-2024 20:12    2915
py3-timeago-pyc-1.0.16-r0.apk                      25-Oct-2024 20:12     28K
py3-tls_parser-2.0.1-r1.apk                        25-Oct-2024 20:12    9401
py3-tls_parser-pyc-2.0.1-r1.apk                    25-Oct-2024 20:12     17K
py3-tlslite-ng-0.7.6-r8.apk                        25-Oct-2024 20:12    179K
py3-tlslite-ng-pyc-0.7.6-r8.apk                    25-Oct-2024 20:12    275K
py3-tokenizers-0.21.1-r0.apk                       25-Apr-2025 06:18      2M
py3-tokenizers-pyc-0.21.1-r0.apk                   25-Apr-2025 06:18     29K
py3-tpm2-pytss-2.3.0-r1.apk                        25-Oct-2024 20:12    273K
py3-tpm2-pytss-pyc-2.3.0-r1.apk                    25-Oct-2024 20:12    236K
py3-transitions-0.9.2-r0.apk                       25-Oct-2024 20:12     98K
py3-transitions-pyc-0.9.2-r0.apk                   25-Oct-2024 20:12    129K
py3-translationstring-1.4-r4.apk                   25-Oct-2024 20:12    9373
py3-translationstring-pyc-1.4-r4.apk               25-Oct-2024 20:12    8979
py3-trivup-0.12.2-r2.apk                           25-Oct-2024 20:12     34K
py3-trivup-pyc-0.12.2-r2.apk                       25-Oct-2024 20:12     55K
py3-truststore-0.10.1-r0.apk                       10-Feb-2025 06:06     17K
py3-truststore-pyc-0.10.1-r0.apk                   10-Feb-2025 06:06     26K
py3-twiggy-0.5.1-r4.apk                            25-Oct-2024 20:12     24K
py3-twiggy-pyc-0.5.1-r4.apk                        25-Oct-2024 20:12     39K
py3-typing_inspect-0.9.0-r2.apk                    25-Oct-2024 20:12     10K
py3-typing_inspect-pyc-0.9.0-r2.apk                25-Oct-2024 20:12     14K
py3-u-msgpack-2.8.0-r2.apk                         25-Oct-2024 20:12     11K
py3-u-msgpack-pyc-2.8.0-r2.apk                     25-Oct-2024 20:12     16K
py3-uacme-desec-1.2.1-r0.apk                       25-Oct-2024 20:12    5840
py3-uacme-desec-doc-1.2.1-r0.apk                   25-Oct-2024 20:12    2247
py3-uacme-desec-pyc-1.2.1-r0.apk                   25-Oct-2024 20:12    6934
py3-uc-micro-py-1.0.2-r1.apk                       25-Oct-2024 20:12    9282
py3-unearth-0.17.5-r0.apk                          11-Apr-2025 18:13     40K
py3-unearth-pyc-0.17.5-r0.apk                      11-Apr-2025 18:13     82K
py3-unicorn-2.0.1-r4.apk                           25-Oct-2024 20:12     34K
py3-unicorn-pyc-2.0.1-r4.apk                       25-Oct-2024 20:12     57K
py3-unicrypto-0.0.10-r2.apk                        25-Oct-2024 20:12     61K
py3-unicrypto-pyc-0.0.10-r2.apk                    25-Oct-2024 20:12     94K
py3-unidns-0.0.1-r2.apk                            25-Oct-2024 20:12     14K
py3-unidns-examples-0.0.1-r2.apk                   25-Oct-2024 20:12    2761
py3-unidns-pyc-0.0.1-r2.apk                        25-Oct-2024 20:12     22K
py3-unoconv-0.9.0-r2.apk                           25-Oct-2024 20:12     26K
py3-uptime-3.0.1-r9.apk                            25-Oct-2024 20:12     10K
py3-uptime-pyc-3.0.1-r9.apk                        25-Oct-2024 20:12    8906
py3-urlobject-2.4.3-r9.apk                         25-Oct-2024 20:12     15K
py3-urlobject-pyc-2.4.3-r9.apk                     25-Oct-2024 20:12     25K
py3-us-3.2.0-r0.apk                                25-Oct-2024 20:12     14K
py3-us-pyc-3.2.0-r0.apk                            25-Oct-2024 20:12     15K
py3-utc-0.0.3-r9.apk                               25-Oct-2024 20:12    3554
py3-utc-pyc-0.0.3-r9.apk                           25-Oct-2024 20:12    2832
py3-vatnumber-1.2-r9.apk                           25-Oct-2024 20:12     19K
py3-vatnumber-pyc-1.2-r9.apk                       25-Oct-2024 20:12    8830
py3-vdf-3.4-r1.apk                                 25-Oct-2024 20:12     11K
py3-vdf-pyc-3.4-r1.apk                             25-Oct-2024 20:12     17K
py3-venusian-3.1.1-r0.apk                          07-Dec-2024 21:14     14K
py3-venusian-pyc-3.1.1-r0.apk                      07-Dec-2024 21:14     12K
py3-virtualenvwrapper-6.1.0-r1.apk                 25-Oct-2024 20:12     22K
py3-virtualenvwrapper-pyc-6.1.0-r1.apk             25-Oct-2024 20:12     12K
py3-visitor-0.1.3-r7.apk                           25-Oct-2024 20:12    4733
py3-visitor-pyc-0.1.3-r7.apk                       25-Oct-2024 20:12    2686
py3-ward-0.67.0_beta0-r2.apk                       25-Oct-2024 20:12     41K
py3-ward-pyc-0.67.0_beta0-r2.apk                   25-Oct-2024 20:12     80K
py3-wbdata-1.0.0-r1.apk                            25-Oct-2024 20:12     18K
py3-wbdata-pyc-1.0.0-r1.apk                        25-Oct-2024 20:12     20K
py3-wg-netns-2.3.1-r1.apk                          25-Oct-2024 20:12    7750
py3-wg-netns-pyc-2.3.1-r1.apk                      25-Oct-2024 20:12     13K
py3-wgconfig-1.1.0-r0.apk                          29-Jan-2025 06:49     22K
py3-wgconfig-pyc-1.1.0-r0.apk                      29-Jan-2025 06:49     12K
py3-wifi-0.3.8-r7.apk                              25-Oct-2024 20:12     13K
py3-wifi-pyc-0.3.8-r7.apk                          25-Oct-2024 20:12     14K
py3-winacl-0.1.9-r0.apk                            25-Oct-2024 20:12     84K
py3-winacl-pyc-0.1.9-r0.apk                        25-Oct-2024 20:12    132K
py3-wsgiprox-1.5.2-r1.apk                          25-Oct-2024 20:12     17K
py3-wsgiprox-pyc-1.5.2-r1.apk                      25-Oct-2024 20:12     28K
py3-wstools-0.4.10-r7.apk                          25-Oct-2024 20:12     53K
py3-wstools-pyc-0.4.10-r7.apk                      25-Oct-2024 20:12    111K
py3-wtf-peewee-3.0.6-r0.apk                        25-Oct-2024 20:12     13K
py3-wtf-peewee-pyc-3.0.6-r0.apk                    25-Oct-2024 20:12     25K
py3-x-wr-timezone-2.0.1-r0.apk                     09-Feb-2025 15:13     12K
py3-x-wr-timezone-pyc-2.0.1-r0.apk                 09-Feb-2025 15:13    7264
py3-xapp-2.4.2-r0.apk                              12-Nov-2024 11:29     34K
py3-xdoctest-1.2.0-r0.apk                          21-Nov-2024 13:32    312K
py3-xlwt-1.3.0-r9.apk                              25-Oct-2024 20:12     95K
py3-xlwt-pyc-1.3.0-r9.apk                          25-Oct-2024 20:12    166K
py3-xsdata-25.4-r0.apk                             14-Apr-2025 09:33    190K
py3-xsdata-pyc-25.4-r0.apk                         14-Apr-2025 09:33    393K
py3-yapsy-1.12.2-r7.apk                            25-Oct-2024 20:12     32K
py3-yapsy-pyc-1.12.2-r7.apk                        25-Oct-2024 20:12     47K
py3-yara-4.5.1-r0.apk                              25-Oct-2024 20:12     18K
py3-yosys-0.42-r0.apk                              25-Oct-2024 20:12    1849
py3-youtube-search-1.6.6-r4.apk                    25-Oct-2024 20:12     79K
py3-youtube-search-pyc-1.6.6-r4.apk                25-Oct-2024 20:12     96K
py3-zimscraperlib-3.4.0-r0.apk                     06-Nov-2024 09:41     52K
py3-zimscraperlib-pyc-3.4.0-r0.apk                 06-Nov-2024 09:41     68K
py3-zipfile2-0.0.12-r0.apk                         25-Oct-2024 20:12     45K
py3-zipfile2-pyc-0.0.12-r0.apk                     25-Oct-2024 20:12     29K
py3-zope-configuration-5.0.1-r2.apk                25-Oct-2024 20:12     39K
py3-zope-configuration-pyc-5.0.1-r2.apk            25-Oct-2024 20:12     49K
py3-zope-i18nmessageid-6.1.0-r2.apk                25-Oct-2024 20:12     16K
py3-zope-i18nmessageid-pyc-6.1.0-r2.apk            25-Oct-2024 20:12    8179
py3-zope-schema-7.0.1-r3.apk                       25-Oct-2024 20:12     45K
py3-zope-schema-pyc-7.0.1-r3.apk                   25-Oct-2024 20:12     61K
pyinfra-3.2-r0.apk                                 23-Jan-2025 02:45    186K
pyinfra-pyc-3.2-r0.apk                             23-Jan-2025 02:45    349K
pympress-1.8.5-r1.apk                              25-Oct-2024 20:12    181K
pympress-doc-1.8.5-r1.apk                          25-Oct-2024 20:12    348K
pympress-lang-1.8.5-r1.apk                         25-Oct-2024 20:12     56K
pympress-pyc-1.8.5-r1.apk                          25-Oct-2024 20:12    182K
pyonji-0.1.0-r4.apk                                12-Apr-2025 03:09      3M
pypy-7.3.12-r0.apk                                 25-Oct-2024 20:12     15M
pypy-bootstrap-7.3.12-r0.apk                       25-Oct-2024 20:12     16M
pypy-dev-7.3.12-r0.apk                             25-Oct-2024 20:12     78K
pypy-tkinter-7.3.12-r0.apk                         25-Oct-2024 20:12    453K
pypy3-7.3.12-r0.apk                                25-Oct-2024 20:12     15M
pypy3-dev-7.3.12-r0.apk                            25-Oct-2024 20:12    565K
pypy3-pyc-7.3.12-r0.apk                            25-Oct-2024 20:12      6M
pypy3-tests-7.3.12-r0.apk                          25-Oct-2024 20:12     13M
pypy3-tkinter-7.3.12-r0.apk                        25-Oct-2024 20:12    301K
pypykatz-0.6.11-r0.apk                             28-Feb-2025 21:50    315K
pypykatz-pyc-0.6.11-r0.apk                         28-Feb-2025 21:50    721K
pyradio-0.9.3.11-r0.apk                            25-Oct-2024 20:12    871K
pyradio-doc-0.9.3.11-r0.apk                        25-Oct-2024 20:12    113K
pyradio-pyc-0.9.3.11-r0.apk                        25-Oct-2024 20:12    810K
qbittorrent-cli-2.1.0-r4.apk                       12-Apr-2025 03:09      6M
qdjango-0.6.2-r1.apk                               25-Oct-2024 20:12    112K
qdjango-dev-0.6.2-r1.apk                           25-Oct-2024 20:12     14K
qflipper-1.3.3-r1.apk                              25-Oct-2024 20:12    527K
qflipper-gui-1.3.3-r1.apk                          25-Oct-2024 20:12      1M
qgis-3.34.15-r1.apk                                11-Apr-2025 18:13     47M
qgis-dev-3.34.15-r1.apk                            11-Apr-2025 18:13      3M
qgis-doc-3.34.15-r1.apk                            11-Apr-2025 18:13    3130
qgis-grass-3.34.15-r1.apk                          11-Apr-2025 18:13      1M
qgis-lang-3.34.15-r1.apk                           11-Apr-2025 18:13     31M
qgis-server-3.34.15-r1.apk                         11-Apr-2025 18:13      2M
qmk-cli-1.1.7-r0.apk                               23-Apr-2025 21:27     15K
qmk-cli-pyc-1.1.7-r0.apk                           23-Apr-2025 21:27     22K
qml-box2d-0_git20180406-r0.apk                     25-Oct-2024 20:12    147K
qoi-0.0.0_git20230312-r0.apk                       25-Oct-2024 20:12    1496
qoi-dev-0.0.0_git20230312-r0.apk                   25-Oct-2024 20:12    6995
qoiconv-0.0.0_git20230312-r0.apk                   25-Oct-2024 20:12     30K
qownnotes-23.6.6-r0.apk                            25-Oct-2024 20:12      2M
qownnotes-lang-23.6.6-r0.apk                       25-Oct-2024 20:12      4M
qpdfview-0.5-r2.apk                                29-Jan-2025 19:46      1M
qpdfview-doc-0.5-r2.apk                            29-Jan-2025 19:46    4350
qperf-0.4.11-r1.apk                                25-Oct-2024 20:12     35K
qperf-doc-0.4.11-r1.apk                            25-Oct-2024 20:12    5669
qqc2-suru-style-0.20230206-r1.apk                  25-Oct-2024 20:12    175K
qsstv-9.5.8-r2.apk                                 25-Oct-2024 20:12    990K
qstardict-2.0.2-r1.apk                             24-Nov-2024 03:04    465K
qstardict-doc-2.0.2-r1.apk                         24-Nov-2024 03:04     11K
qsynth-1.0.2-r0.apk                                25-Oct-2024 20:12    460K
qsynth-doc-1.0.2-r0.apk                            25-Oct-2024 20:12    4469
qt-jdenticon-0.3.1-r0.apk                          25-Oct-2024 20:12     29K
qt-jdenticon-doc-0.3.1-r0.apk                      25-Oct-2024 20:12    2251
qt-wayland-shell-helpers-0.1.1-r3.apk              25-Oct-2024 20:12     13K
qt-wayland-shell-helpers-dev-0.1.1-r3.apk          25-Oct-2024 20:12    4031
qt5ct-1.8-r0.apk                                   25-Oct-2024 20:12    241K
qt5ct-dev-1.8-r0.apk                               25-Oct-2024 20:12    1521
qt6ct-0.9-r3.apk                                   09-Dec-2024 19:39    203K
qtile-0.30.0-r0.apk                                10-Feb-2025 21:36    436K
qtile-pyc-0.30.0-r0.apk                            10-Feb-2025 21:36    837K
qtmir-0.7.2_git20250407-r0.apk                     17-Apr-2025 23:03    546K
qtmir-dev-0.7.2_git20250407-r0.apk                 17-Apr-2025 23:03    6798
qtox-1.17.6-r6.apk                                 25-Oct-2024 20:12      5M
qtpass-1.4.0-r0.apk                                25-Oct-2024 20:12    438K
qtpass-doc-1.4.0-r0.apk                            25-Oct-2024 20:12    2192
quakespasm-0.96.3-r0.apk                           25-Oct-2024 20:12    494K
qucs-s-1.1.0-r1.apk                                25-Oct-2024 20:12      4M
qucs-s-doc-1.1.0-r1.apk                            25-Oct-2024 20:12    2420
qucs-s-lang-1.1.0-r1.apk                           25-Oct-2024 20:12    865K
queercat-1.0.0-r0.apk                              25-Oct-2024 20:12    8374
quodlibet-4.6.0-r1.apk                             25-Oct-2024 20:12      1M
quodlibet-bash-completion-4.6.0-r1.apk             25-Oct-2024 20:12    4801
quodlibet-doc-4.6.0-r1.apk                         25-Oct-2024 20:12    8922
quodlibet-lang-4.6.0-r1.apk                        25-Oct-2024 20:12      1M
quodlibet-pyc-4.6.0-r1.apk                         25-Oct-2024 20:12      2M
quodlibet-zsh-completion-4.6.0-r1.apk              25-Oct-2024 20:12    2796
raku-data-dump-0.0.16_git20250123-r0.apk           24-Apr-2025 17:08     44K
raku-data-dump-doc-0.0.16_git20250123-r0.apk       24-Apr-2025 17:08    3005
raku-file-directory-tree-0.1_git20240123-r0.apk    24-Apr-2025 17:08    9017
raku-file-directory-tree-doc-0.1_git20240123-r0..> 24-Apr-2025 17:08    3222
raku-hash-merge-2.0.0-r0.apk                       24-Apr-2025 17:08     15K
raku-hash-merge-doc-2.0.0-r0.apk                   24-Apr-2025 17:08    2414
raku-http-tiny-0.2.6-r0.apk                        24-Apr-2025 17:08    194K
raku-http-tiny-doc-0.2.6-r0.apk                    24-Apr-2025 17:08    9082
raku-json-fast-0.19-r0.apk                         24-Apr-2025 17:08     66K
raku-json-fast-doc-0.19-r0.apk                     24-Apr-2025 17:08    3911
raku-mime-base64-1.2.3-r0.apk                      24-Apr-2025 17:08     66K
raku-mime-base64-doc-1.2.3-r0.apk                  24-Apr-2025 17:08    2865
raku-sparrow6-0.0.66-r0.apk                        24-Apr-2025 17:08      1M
raku-sparrow6-doc-0.0.66-r0.apk                    24-Apr-2025 17:08    5084
raku-terminal-ansicolor-0.12-r0.apk                24-Apr-2025 17:08     39K
raku-terminal-ansicolor-doc-0.12-r0.apk            24-Apr-2025 17:08    4332
raku-yamlish-0.1.2-r0.apk                          24-Apr-2025 17:08    287K
raku-yamlish-doc-0.1.2-r0.apk                      24-Apr-2025 17:08    2682
randrctl-1.10.0-r0.apk                             18-Nov-2024 18:00     28K
randrctl-pyc-1.10.0-r0.apk                         18-Nov-2024 18:00     31K
rankwidth-0.9-r3.apk                               25-Oct-2024 20:12    5772
rankwidth-dev-0.9-r3.apk                           25-Oct-2024 20:12    3010
rankwidth-doc-0.9-r3.apk                           25-Oct-2024 20:12    3103
rankwidth-libs-0.9-r3.apk                          25-Oct-2024 20:12    5335
rankwidth-static-0.9-r3.apk                        25-Oct-2024 20:12    4958
raspberrypi-usbboot-20210701-r3.apk                25-Oct-2024 20:12      1M
rathole-0.5.0-r0.apk                               25-Oct-2024 20:12      1M
rattler-build-0.18.0-r0.apk                        25-Oct-2024 20:12      6M
rattler-build-bash-completion-0.18.0-r0.apk        25-Oct-2024 20:12    3705
rattler-build-doc-0.18.0-r0.apk                    25-Oct-2024 20:12    6885
rattler-build-fish-completion-0.18.0-r0.apk        25-Oct-2024 20:12    4829
rattler-build-zsh-completion-0.18.0-r0.apk         25-Oct-2024 20:12    5568
rauc-1.10.1-r0.apk                                 25-Oct-2024 20:12    146K
rauc-doc-1.10.1-r0.apk                             25-Oct-2024 20:12    4270
rauc-service-1.10.1-r0.apk                         25-Oct-2024 20:12    3835
razercfg-0.42-r7.apk                               25-Oct-2024 20:12     84K
razercfg-gui-0.42-r7.apk                           25-Oct-2024 20:12     19K
razercfg-openrc-0.42-r7.apk                        25-Oct-2024 20:12    1763
razercfg-pyc-0.42-r7.apk                           25-Oct-2024 20:12     36K
rclone-browser-1.8.0-r1.apk                        25-Oct-2024 20:12    349K
rcon-cli-1.6.2-r10.apk                             12-Apr-2025 03:09      3M
rdedup-3.2.1-r5.apk                                25-Oct-2024 20:12    831K
rdrview-0.1.3-r0.apk                               22-Feb-2025 19:31     31K
rdrview-doc-0.1.3-r0.apk                           22-Feb-2025 19:31    3785
reaction-1.4.1-r5.apk                              12-Apr-2025 03:09      2M
reaction-openrc-1.4.1-r5.apk                       12-Apr-2025 03:09    1873
reaction-tools-1.4.1-r5.apk                        12-Apr-2025 03:09     27K
readosm-1.1.0-r2.apk                               25-Oct-2024 20:12     16K
readosm-dev-1.1.0-r2.apk                           25-Oct-2024 20:12     21K
reason-3.8.2-r1.apk                                25-Oct-2024 20:12     17M
reason-rtop-3.8.2-r1.apk                           25-Oct-2024 20:12     24M
reaver-wps-fork-t6x-1.6.6-r1.apk                   25-Oct-2024 20:12    419K
recoll-1.37.5-r1.apk                               25-Oct-2024 20:12      3M
recoll-dev-1.37.5-r1.apk                           25-Oct-2024 20:12     53K
recoll-doc-1.37.5-r1.apk                           25-Oct-2024 20:12     21K
redhat-fonts-4.1.0-r0.apk                          19-Mar-2025 11:46    809K
refine-0.5.7-r0.apk                                16-Apr-2025 22:50     33K
refine-lang-0.5.7-r0.apk                           16-Apr-2025 22:50     29K
reflection-cpp-0.2.0-r0.apk                        13-Apr-2025 23:14    1285
reflection-cpp-dev-0.2.0-r0.apk                    13-Apr-2025 23:14     10K
reflection-cpp-doc-0.2.0-r0.apk                    13-Apr-2025 23:14    5637
reg-0.16.1-r27.apk                                 12-Apr-2025 03:09      5M
regal-0.29.2-r4.apk                                12-Apr-2025 03:09     10M
regal-bash-completion-0.29.2-r4.apk                12-Apr-2025 03:09    5188
regal-fish-completion-0.29.2-r4.apk                12-Apr-2025 03:09    4429
regal-zsh-completion-0.29.2-r4.apk                 12-Apr-2025 03:09    4140
regclient-0.8.2-r1.apk                             12-Apr-2025 03:09     14M
remake-1.5-r1.apk                                  25-Oct-2024 20:12    147K
remake-dev-1.5-r1.apk                              25-Oct-2024 20:12    3000
remake-doc-1.5-r1.apk                              25-Oct-2024 20:12    202K
remake-make-1.5-r1.apk                             25-Oct-2024 20:12    1567
remind-caldav-0.8.0-r4.apk                         25-Oct-2024 20:12     18K
remind-caldav-pyc-0.8.0-r4.apk                     25-Oct-2024 20:12    6287
repgrep-0.15.0-r0.apk                              25-Oct-2024 20:12      1M
repgrep-bash-completion-0.15.0-r0.apk              25-Oct-2024 20:12    1680
repgrep-doc-0.15.0-r0.apk                          25-Oct-2024 20:12    6654
repgrep-fish-completion-0.15.0-r0.apk              25-Oct-2024 20:12    4249
repgrep-zsh-completion-0.15.0-r0.apk               25-Oct-2024 20:12    1686
repo-2.53-r0.apk                                   20-Mar-2025 03:18     17K
repo-doc-2.53-r0.apk                               20-Mar-2025 03:18     38K
repowerd-2023.07-r3.apk                            17-Feb-2025 09:34    918K
repowerd-openrc-2023.07-r3.apk                     17-Feb-2025 09:34    1714
reprotest-0.7.29-r0.apk                            23-Dec-2024 04:07     80K
reprotest-pyc-0.7.29-r0.apk                        23-Dec-2024 04:07    103K
reredirect-0.3-r0.apk                              25-Oct-2024 20:12    9174
reredirect-doc-0.3-r0.apk                          25-Oct-2024 20:12    2906
resources-1.8.0-r0.apk                             12-Apr-2025 22:41      2M
resources-lang-1.8.0-r0.apk                        12-Apr-2025 22:41    126K
responder-3.1.5.0-r0.apk                           25-Oct-2024 20:12    750K
restart-services-0.17.0-r0.apk                     25-Oct-2024 20:12     12K
restart-services-doc-0.17.0-r0.apk                 25-Oct-2024 20:12    6024
restic.mk-0.4.0-r0.apk                             25-Oct-2024 20:12    2981
restinio-0.6.19-r1.apk                             14-Dec-2024 19:46    1260
restinio-dev-0.6.19-r1.apk                         14-Dec-2024 19:46    268K
rezolus-2.11.1-r3.apk                              25-Oct-2024 20:12    883K
rezolus-doc-2.11.1-r3.apk                          25-Oct-2024 20:12    3440
rezolus-openrc-2.11.1-r3.apk                       25-Oct-2024 20:12    2121
rgxg-0.1.2-r2.apk                                  25-Oct-2024 20:12     15K
rgxg-dev-0.1.2-r2.apk                              25-Oct-2024 20:12    3608
rgxg-doc-0.1.2-r2.apk                              25-Oct-2024 20:12     12K
rhasspy-nlu-0.4.0-r3.apk                           25-Oct-2024 20:12     44K
rhasspy-nlu-pyc-0.4.0-r3.apk                       25-Oct-2024 20:12     73K
ri-li-2.0.1-r1.apk                                 25-Oct-2024 20:12     18M
riemann-cli-0.8.0-r2.apk                           25-Oct-2024 20:12    532K
rime-ls-0.4.2-r0.apk                               05-Apr-2025 04:01      1M
rinetd-0.73-r0.apk                                 25-Oct-2024 20:12     14K
rinetd-doc-0.73-r0.apk                             25-Oct-2024 20:12     16K
rinetd-openrc-0.73-r0.apk                          25-Oct-2024 20:12    1758
rio-0.2.12-r0.apk                                  29-Mar-2025 16:25     10M
rio-doc-0.2.12-r0.apk                              29-Mar-2025 16:25    2284
rio-terminfo-0.2.12-r0.apk                         29-Mar-2025 16:25    3437
ripasso-cursive-0.6.5-r0.apk                       25-Oct-2024 20:12      3M
ripdrag-0.4.10-r0.apk                              25-Oct-2024 20:12    343K
river-luatile-0.1.3-r0.apk                         25-Oct-2024 20:12    420K
river-shifttags-0.2.1-r0.apk                       25-Oct-2024 20:12    6039
river-shifttags-doc-0.2.1-r0.apk                   25-Oct-2024 20:12    2393
rizin-0.6.3-r1.apk                                 25-Oct-2024 20:12      3M
rizin-cutter-2.3.2-r2.apk                          25-Oct-2024 20:12      2M
rizin-cutter-dev-2.3.2-r2.apk                      25-Oct-2024 20:12    108K
rizin-dev-0.6.3-r1.apk                             25-Oct-2024 20:12    306K
rizin-doc-0.6.3-r1.apk                             25-Oct-2024 20:12     18K
rizin-libs-0.6.3-r1.apk                            25-Oct-2024 20:12      4M
rkdeveloptool-1.1.0-r1.apk                         25-Oct-2024 20:12     58K
rkdeveloptool-doc-1.1.0-r1.apk                     25-Oct-2024 20:12    3023
rke-1.4.3-r14.apk                                  12-Apr-2025 03:09     21M
rke-doc-1.4.3-r14.apk                              12-Apr-2025 03:09    3028
rmlint-2.10.2-r2.apk                               25-Oct-2024 20:12    156K
rmlint-doc-2.10.2-r2.apk                           25-Oct-2024 20:12     18K
rmlint-lang-2.10.2-r2.apk                          25-Oct-2024 20:12     19K
rmlint-shredder-2.10.2-r2.apk                      25-Oct-2024 20:12     96K
rmlint-shredder-pyc-2.10.2-r2.apk                  25-Oct-2024 20:12    124K
rmpc-0.8.0-r0.apk                                  14-Apr-2025 00:52      2M
rmpc-bash-completion-0.8.0-r0.apk                  14-Apr-2025 00:52    4231
rmpc-doc-0.8.0-r0.apk                              14-Apr-2025 00:52    4129
rmpc-fish-completion-0.8.0-r0.apk                  14-Apr-2025 00:52    4455
rmpc-zsh-completion-0.8.0-r0.apk                   14-Apr-2025 00:52    5771
rofi-blocks-0.1.0-r0.apk                           25-Oct-2024 20:12     12K
rofi-json-menu-0.2.0-r1.apk                        25-Oct-2024 20:12    5516
rofi-pass-2.0.2-r2.apk                             25-Oct-2024 20:12    9054
rofi-pass-doc-2.0.2-r2.apk                         25-Oct-2024 20:12    5072
rosdep-0.19.0-r6.apk                               25-Oct-2024 20:12     66K
rosdep-pyc-0.19.0-r6.apk                           25-Oct-2024 20:12    119K
rosenpass-0.2.2-r1.apk                             01-Feb-2025 18:23    978K
roswell-24.10.115-r0.apk                           25-Oct-2024 20:12    109K
roswell-doc-24.10.115-r0.apk                       25-Oct-2024 20:12     18K
rpg-cli-1.2.0-r0.apk                               25-Oct-2024 20:12    603K
rpi-imager-1.9.0-r0.apk                            25-Oct-2024 20:12    718K
rpi-imager-doc-1.9.0-r0.apk                        25-Oct-2024 20:12    3143
rss-email-0.5.0-r0.apk                             25-Oct-2024 20:12      2M
rss-email-doc-0.5.0-r0.apk                         25-Oct-2024 20:12    6585
rsstail-2.2-r0.apk                                 13-Feb-2025 07:17    8984
rsstail-doc-2.2-r0.apk                             13-Feb-2025 07:17    2823
rtaudio-6.0.1-r0.apk                               17-Apr-2025 23:03     44K
rtaudio-dev-6.0.1-r0.apk                           17-Apr-2025 23:03     64K
rtaudio-doc-6.0.1-r0.apk                           17-Apr-2025 23:03    194K
rtl-power-fftw-20200601-r4.apk                     25-Oct-2024 20:12     64K
rtl-power-fftw-doc-20200601-r4.apk                 25-Oct-2024 20:12    8353
rtl8812au-src-5.6.4.2_git20231103-r1.apk           05-Jan-2025 21:12      3M
rtl8821ce-src-5_git20250331-r0.apk                 07-Apr-2025 18:35      4M
rtl88x2bu-src-5.13.1_git20230711-r0.apk            25-Oct-2024 20:12      4M
rtmidi-6.0.0-r0.apk                                25-Oct-2024 20:12     32K
rtmidi-dev-6.0.0-r0.apk                            25-Oct-2024 20:12     14K
rtptools-1.22-r2.apk                               25-Oct-2024 20:12     30K
rtptools-doc-1.22-r2.apk                           25-Oct-2024 20:12     13K
rtw89-src-7_p20230725-r0.apk                       25-Oct-2024 20:12    759K
ruby-build-20250418-r0.apk                         24-Apr-2025 08:47     91K
ruby-build-doc-20250418-r0.apk                     24-Apr-2025 08:47    4900
ruby-build-runtime-20250418-r0.apk                 24-Apr-2025 08:47    1343
ruby-dry-inflector-1.1.0-r1.apk                    23-Apr-2025 21:27    8438
ruby-dry-inflector-doc-1.1.0-r1.apk                23-Apr-2025 21:27    2313
ruby-facter-4.9.0-r1.apk                           23-Apr-2025 21:27    218K
ruby-hashdiff-1.1.1-r1.apk                         23-Apr-2025 21:27    8618
ruby-hashdiff-doc-1.1.1-r1.apk                     23-Apr-2025 21:27    2300
ruby-libguestfs-1.52.0-r1.apk                      25-Oct-2024 20:12    105K
ruff-lsp-0.0.62-r0.apk                             06-Mar-2025 22:29     21K
ruff-lsp-pyc-0.0.62-r0.apk                         06-Mar-2025 22:29     35K
runst-0.1.7-r0.apk                                 25-Oct-2024 20:12      2M
runst-doc-0.1.7-r0.apk                             25-Oct-2024 20:12    7903
rust-script-0.35.0-r0.apk                          27-Oct-2024 16:29    920K
rustdesk-server-1.1.10.3-r0.apk                    25-Oct-2024 20:12      2M
rustdesk-server-openrc-1.1.10.3-r0.apk             25-Oct-2024 20:12    2304
rustic-0.9.3-r0.apk                                25-Oct-2024 20:12      6M
rustic-bash-completion-0.9.3-r0.apk                25-Oct-2024 20:12    8818
rustic-fish-completion-0.9.3-r0.apk                25-Oct-2024 20:12     17K
rustic-zsh-completion-0.9.3-r0.apk                 25-Oct-2024 20:12     13K
rustscan-2.3.0-r0.apk                              25-Oct-2024 20:12      1M
ruuvi-prometheus-0.1.9-r1.apk                      12-Apr-2025 03:09      4M
ruuvi-prometheus-openrc-0.1.9-r1.apk               12-Apr-2025 03:09    1717
rvlprog-0.91-r2.apk                                25-Oct-2024 20:12     29K
ry-0.5.2-r1.apk                                    25-Oct-2024 20:12    4731
ry-bash-completion-0.5.2-r1.apk                    25-Oct-2024 20:12    2000
ry-zsh-completion-0.5.2-r1.apk                     25-Oct-2024 20:12    2312
rygel-0.44.2-r0.apk                                19-Mar-2025 12:55    801K
rygel-dev-0.44.2-r0.apk                            19-Mar-2025 12:55     43K
rygel-doc-0.44.2-r0.apk                            19-Mar-2025 12:55    9844
rygel-lang-0.44.2-r0.apk                           19-Mar-2025 12:55    567K
s-dkim-sign-0.6.2-r0.apk                           25-Oct-2024 20:12     61K
s-dkim-sign-doc-0.6.2-r0.apk                       25-Oct-2024 20:12    8727
s-postgray-0.8.3-r0.apk                            25-Oct-2024 20:12     50K
s-postgray-doc-0.8.3-r0.apk                        25-Oct-2024 20:12    9816
s5cmd-2.3.0-r2.apk                                 12-Apr-2025 03:09      5M
saait-0.8-r0.apk                                   25-Oct-2024 20:12    7329
saait-doc-0.8-r0.apk                               25-Oct-2024 20:12     13K
sacc-1.07-r0.apk                                   25-Oct-2024 20:12     16K
sacc-doc-1.07-r0.apk                               25-Oct-2024 20:12    2936
sandbar-0.1-r0.apk                                 25-Oct-2024 20:12     14K
satellite-1.0.0-r27.apk                            12-Apr-2025 03:09      2M
satellite-doc-1.0.0-r27.apk                        12-Apr-2025 03:09    3096
satellite-openrc-1.0.0-r27.apk                     12-Apr-2025 03:09    1946
sauerbraten-2020.12.29-r4.apk                      20-Feb-2025 18:40    934M
sbase-0_git20210730-r3.apk                         25-Oct-2024 20:12    119K
sbase-doc-0_git20210730-r3.apk                     25-Oct-2024 20:12     58K
sblg-0.5.11-r0.apk                                 25-Oct-2024 20:12     47K
sblg-doc-0.5.11-r0.apk                             25-Oct-2024 20:12      1M
sblim-sfcc-2.2.8-r3.apk                            25-Oct-2024 20:12     55K
sblim-sfcc-dev-2.2.8-r3.apk                        25-Oct-2024 20:12     22K
sblim-sfcc-doc-2.2.8-r3.apk                        25-Oct-2024 20:12     35K
sblim-wbemcli-1.6.3-r1.apk                         25-Oct-2024 20:12    112K
sblim-wbemcli-doc-1.6.3-r1.apk                     25-Oct-2024 20:12    4634
sc-controller-0.5.1-r0.apk                         26-Mar-2025 22:43      1M
sc-controller-pyc-0.5.1-r0.apk                     26-Mar-2025 22:43    813K
sc-im-0.8.4-r1.apk                                 16-Apr-2025 17:37    165K
sc-im-doc-0.8.4-r1.apk                             16-Apr-2025 17:37    4885
sc3-plugins-3.13.0-r2.apk                          08-Feb-2025 23:44     11M
scalingo-1.30.0-r9.apk                             12-Apr-2025 03:09      6M
scap-workbench-1.2.1-r3.apk                        25-Oct-2024 20:12    249K
scap-workbench-doc-1.2.1-r3.apk                    25-Oct-2024 20:12      2M
schismtracker-20231029-r0.apk                      25-Oct-2024 20:12    368K
schismtracker-doc-20231029-r0.apk                  25-Oct-2024 20:12    6396
scooper-1.3-r1.apk                                 25-Oct-2024 20:12    504K
scooper-doc-1.3-r1.apk                             25-Oct-2024 20:12    2651
screen-message-0.29-r0.apk                         13-Apr-2025 11:54     11K
screen-message-doc-0.29-r0.apk                     13-Apr-2025 11:54    3738
screenkey-1.5-r6.apk                               25-Oct-2024 20:12     77K
screenkey-doc-1.5-r6.apk                           25-Oct-2024 20:12     11K
screenkey-pyc-1.5-r6.apk                           25-Oct-2024 20:12     73K
sct-2018.12.18-r1.apk                              25-Oct-2024 20:12    3872
sdl3_image-3.2.4-r0.apk                            24-Mar-2025 20:26     78K
sdl3_image-dev-3.2.4-r0.apk                        24-Mar-2025 20:26     12K
sdl3_image-doc-3.2.4-r0.apk                        24-Mar-2025 20:26    2112
sdparm-1.12-r1.apk                                 25-Oct-2024 20:12    147K
sdparm-doc-1.12-r1.apk                             25-Oct-2024 20:12     19K
seaweedfs-3.80-r4.apk                              12-Apr-2025 03:09     25M
seaweedfs-doc-3.80-r4.apk                          12-Apr-2025 03:09     14K
seaweedfs-openrc-3.80-r4.apk                       12-Apr-2025 03:09    1955
secsipidx-1.3.2-r11.apk                            12-Apr-2025 03:09      3M
secsipidx-dev-1.3.2-r11.apk                        12-Apr-2025 03:09      5M
secsipidx-libs-1.3.2-r11.apk                       12-Apr-2025 03:09      3M
sedutil-1.15.1-r1.apk                              25-Oct-2024 20:12    195K
sedutil-doc-1.15.1-r1.apk                          25-Oct-2024 20:12    3138
seed7-05.20240322-r0.apk                           25-Oct-2024 20:12     10M
seed7-doc-05.20240322-r0.apk                       25-Oct-2024 20:12      2M
seed7-nano-05.20240322-r0.apk                      25-Oct-2024 20:12    2530
seed7-vim-05.20240322-r0.apk                       25-Oct-2024 20:12    4115
sentinel-minipot-2.3.0-r1.apk                      25-Oct-2024 20:12     43K
sentinel-minipot-openrc-2.3.0-r1.apk               25-Oct-2024 20:12    2663
sentinel-proxy-2.1.0-r0.apk                        25-Oct-2024 20:12     44K
sentinel-proxy-dev-2.1.0-r0.apk                    25-Oct-2024 20:12    4728
sentinel-proxy-openrc-2.1.0-r0.apk                 25-Oct-2024 20:12    2341
serialdv-1.1.4-r1.apk                              08-Feb-2025 23:44    7446
serialdv-dev-1.1.4-r1.apk                          08-Feb-2025 23:44    5258
serialdv-libs-1.1.4-r1.apk                         08-Feb-2025 23:44     70K
serie-0.4.4-r0.apk                                 27-Feb-2025 18:27    932K
serie-doc-0.4.4-r0.apk                             27-Feb-2025 18:27    6642
setroot-2.0.2-r1.apk                               25-Oct-2024 20:12     12K
setroot-doc-2.0.2-r1.apk                           25-Oct-2024 20:12    4551
sflowtool-6.02-r0.apk                              25-Oct-2024 20:12     41K
sflowtool-doc-6.02-r0.apk                          25-Oct-2024 20:12    9581
sfwbar-1.0_beta16-r1.apk                           12-Dec-2024 19:02    275K
sfwbar-doc-1.0_beta16-r1.apk                       12-Dec-2024 19:02     26K
sgt-puzzles-0_git20230310-r2.apk                   25-Oct-2024 20:12      3M
shc-4.0.3-r2.apk                                   25-Oct-2024 20:12     16K
shellinabox-2.21-r3.apk                            25-Oct-2024 20:12    118K
shellinabox-doc-2.21-r3.apk                        25-Oct-2024 20:12     19K
shellinabox-openrc-2.21-r3.apk                     25-Oct-2024 20:12    3606
shfm-0.4.2-r1.apk                                  25-Oct-2024 20:12    4138
shfm-doc-0.4.2-r1.apk                              25-Oct-2024 20:12    6287
shine-3.1.1-r0.apk                                 25-Oct-2024 20:12     56K
shipments-0.3.0-r0.apk                             25-Oct-2024 20:12     23K
shntool-3.0.10-r5.apk                              24-Feb-2025 21:15     56K
shntool-doc-3.0.10-r5.apk                          24-Feb-2025 21:15     10K
shutdown-clear-machine-id-1.0.0-r0.apk             25-Oct-2024 20:12    1854
sigma-0.23.1-r1.apk                                25-Oct-2024 20:12    237K
sigma-pyc-0.23.1-r1.apk                            25-Oct-2024 20:12    340K
sigrok-cli-0.7.2-r0.apk                            25-Oct-2024 20:12     43K
sigrok-cli-doc-0.7.2-r0.apk                        25-Oct-2024 20:12    8184
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk           25-Oct-2024 20:12     13K
silc-client-1.1.11-r17.apk                         25-Oct-2024 20:12    875K
silc-client-doc-1.1.11-r17.apk                     25-Oct-2024 20:12     83K
simgear-2024.1.1-r0.apk                            05-Mar-2025 00:14      2M
simgear-dev-2024.1.1-r0.apk                        05-Mar-2025 00:14    404K
simh-3.11.1-r1.apk                                 25-Oct-2024 20:12      3M
simp1e-cursors-0_git20250312-r0.apk                13-Mar-2025 12:28    447K
simp1e-cursors-adw-0_git20250312-r0.apk            13-Mar-2025 12:28    478K
simp1e-cursors-adw-dark-0_git20250312-r0.apk       13-Mar-2025 12:28    482K
simp1e-cursors-adw-dark-left-0_git20250312-r0.apk  13-Mar-2025 12:28    485K
simp1e-cursors-adw-left-0_git20250312-r0.apk       13-Mar-2025 12:28    483K
simp1e-cursors-breeze-0_git20250312-r0.apk         13-Mar-2025 12:28    504K
simp1e-cursors-breeze-dark-0_git20250312-r0.apk    13-Mar-2025 12:28    520K
simp1e-cursors-breeze-dark-left-0_git20250312-r..> 13-Mar-2025 12:28    526K
simp1e-cursors-breeze-left-0_git20250312-r0.apk    13-Mar-2025 12:28    509K
simp1e-cursors-catppuccin-frappe-0_git20250312-..> 13-Mar-2025 12:28    535K
simp1e-cursors-catppuccin-frappe-left-0_git2025..> 13-Mar-2025 12:28    547K
simp1e-cursors-catppuccin-latte-0_git20250312-r..> 13-Mar-2025 12:28    528K
simp1e-cursors-catppuccin-latte-left-0_git20250..> 13-Mar-2025 12:28    537K
simp1e-cursors-catppuccin-macchiato-0_git202503..> 13-Mar-2025 12:28    533K
simp1e-cursors-catppuccin-macchiato-left-0_git2..> 13-Mar-2025 12:28    544K
simp1e-cursors-catppuccin-mocha-0_git20250312-r..> 13-Mar-2025 12:28    530K
simp1e-cursors-catppuccin-mocha-left-0_git20250..> 13-Mar-2025 12:28    540K
simp1e-cursors-dark-0_git20250312-r0.apk           13-Mar-2025 12:28    484K
simp1e-cursors-dark-left-0_git20250312-r0.apk      13-Mar-2025 12:28    490K
simp1e-cursors-doc-0_git20250312-r0.apk            13-Mar-2025 12:28     14K
simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk   13-Mar-2025 12:28    525K
simp1e-cursors-gruvbox-dark-left-0_git20250312-..> 13-Mar-2025 12:28    537K
simp1e-cursors-gruvbox-light-0_git20250312-r0.apk  13-Mar-2025 12:28    517K
simp1e-cursors-gruvbox-light-left-0_git20250312..> 13-Mar-2025 12:28    527K
simp1e-cursors-left-0_git20250312-r0.apk           13-Mar-2025 12:28    449K
simp1e-cursors-mix-dark-0_git20250312-r0.apk       13-Mar-2025 12:28    487K
simp1e-cursors-mix-dark-left-0_git20250312-r0.apk  13-Mar-2025 12:28    492K
simp1e-cursors-mix-light-0_git20250312-r0.apk      13-Mar-2025 12:28    450K
simp1e-cursors-mix-light-left-0_git20250312-r0.apk 13-Mar-2025 12:28    451K
simp1e-cursors-nord-dark-0_git20250312-r0.apk      13-Mar-2025 12:28    536K
simp1e-cursors-nord-dark-left-0_git20250312-r0.apk 13-Mar-2025 12:28    549K
simp1e-cursors-nord-light-0_git20250312-r0.apk     13-Mar-2025 12:28    518K
simp1e-cursors-nord-light-left-0_git20250312-r0..> 13-Mar-2025 12:28    525K
simp1e-cursors-rose-pine-0_git20250312-r0.apk      13-Mar-2025 12:28    533K
simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk 13-Mar-2025 12:28    538K
simp1e-cursors-rose-pine-dawn-left-0_git2025031..> 13-Mar-2025 12:28    551K
simp1e-cursors-rose-pine-left-0_git20250312-r0.apk 13-Mar-2025 12:28    545K
simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk 13-Mar-2025 12:28    538K
simp1e-cursors-rose-pine-moon-left-0_git2025031..> 13-Mar-2025 12:28    550K
simp1e-cursors-solarized-dark-0_git20250312-r0.apk 13-Mar-2025 12:28    524K
simp1e-cursors-solarized-dark-left-0_git2025031..> 13-Mar-2025 12:28    535K
simp1e-cursors-solarized-light-0_git20250312-r0..> 13-Mar-2025 12:28    531K
simp1e-cursors-solarized-light-left-0_git202503..> 13-Mar-2025 12:28    542K
simp1e-cursors-tokyo-night-0_git20250312-r0.apk    13-Mar-2025 12:28    530K
simp1e-cursors-tokyo-night-left-0_git20250312-r..> 13-Mar-2025 12:28    541K
simp1e-cursors-tokyo-night-light-0_git20250312-..> 13-Mar-2025 12:28    520K
simp1e-cursors-tokyo-night-light-left-0_git2025..> 13-Mar-2025 12:28    527K
simp1e-cursors-tokyo-night-storm-0_git20250312-..> 13-Mar-2025 12:28    534K
simp1e-cursors-tokyo-night-storm-left-0_git2025..> 13-Mar-2025 12:28    546K
simp1e-cursors-zenburn-0_git20250312-r0.apk        13-Mar-2025 12:28    529K
simp1e-cursors-zenburn-left-0_git20250312-r0.apk   13-Mar-2025 12:28    540K
simpleble-0.8.1-r0.apk                             25-Feb-2025 22:20    1193
simpleble-dev-0.8.1-r0.apk                         25-Feb-2025 22:20     24K
sing-box-1.11.7-r1.apk                             12-Apr-2025 03:09     12M
sing-box-bash-completion-1.11.7-r1.apk             12-Apr-2025 03:09    5271
sing-box-fish-completion-1.11.7-r1.apk             12-Apr-2025 03:09    4460
sing-box-openrc-1.11.7-r1.apk                      12-Apr-2025 03:09    2134
sing-box-zsh-completion-1.11.7-r1.apk              12-Apr-2025 03:09    4167
sing-geoip-20250312-r0.apk                         12-Mar-2025 12:13      2M
sing-geosite-20250407044718-r0.apk                 11-Apr-2025 18:13      1M
singular-4.4.1-r1.apk                              25-Mar-2025 12:08     10M
singular-dev-4.4.1-r1.apk                          25-Mar-2025 12:08    364K
singular-doc-4.4.1-r1.apk                          25-Mar-2025 12:08      1M
singular-emacs-4.4.1-r1.apk                        25-Mar-2025 12:08    101K
singular-static-4.4.1-r1.apk                       25-Mar-2025 12:08      5M
sipexer-1.2.0-r2.apk                               12-Apr-2025 03:09      3M
sipgrep-2.2.0-r1.apk                               25-Oct-2024 20:12     27K
siril-1.2.6-r0.apk                                 02-Feb-2025 03:03      3M
siril-doc-1.2.6-r0.apk                             02-Feb-2025 03:03     18K
siril-lang-1.2.6-r0.apk                            02-Feb-2025 03:03      2M
sish-2.16.1-r4.apk                                 12-Apr-2025 03:09      8M
sish-openrc-2.16.1-r4.apk                          12-Apr-2025 03:09    1955
slidge-0.1.3-r0.apk                                25-Oct-2024 20:12    145K
slidge-doc-0.1.3-r0.apk                            25-Oct-2024 20:12    4649
slidge-matridge-0.1.0-r0.apk                       25-Oct-2024 20:12     30K
slidge-matridge-openrc-0.1.0-r0.apk                25-Oct-2024 20:12    1544
slidge-matridge-pyc-0.1.0-r0.apk                   25-Oct-2024 20:12     38K
slidge-openrc-0.1.3-r0.apk                         25-Oct-2024 20:12    2369
slidge-pyc-0.1.3-r0.apk                            25-Oct-2024 20:12    285K
sloccount-2.26-r3.apk                              25-Oct-2024 20:12     59K
sloccount-doc-2.26-r3.apk                          25-Oct-2024 20:12     59K
slurm-0.4.4-r0.apk                                 25-Oct-2024 20:12     14K
slurm-doc-0.4.4-r0.apk                             25-Oct-2024 20:12    2314
smassh-3.1.6-r0.apk                                21-Nov-2024 02:56     72K
smassh-pyc-3.1.6-r0.apk                            21-Nov-2024 02:56     70K
smile-2.10.1-r0.apk                                11-Apr-2025 18:13    721K
smile-lang-2.10.1-r0.apk                           11-Apr-2025 18:13     25K
smplxmpp-0.9.3-r4.apk                              18-Dec-2024 12:06    156K
smplxmpp-doc-0.9.3-r4.apk                          18-Dec-2024 12:06     25K
snapper-0.12.1-r0.apk                              13-Feb-2025 07:32      1M
snapper-bash-completion-0.12.1-r0.apk              13-Feb-2025 07:32    3123
snapper-dev-0.12.1-r0.apk                          13-Feb-2025 07:32     10K
snapper-doc-0.12.1-r0.apk                          13-Feb-2025 07:32     25K
snapper-lang-0.12.1-r0.apk                         13-Feb-2025 07:32    196K
snapper-zsh-completion-0.12.1-r0.apk               13-Feb-2025 07:32    3591
snapraid-12.3-r0.apk                               25-Oct-2024 20:12    272K
snapraid-doc-12.3-r0.apk                           25-Oct-2024 20:12     17K
sndfile-tools-1.5-r1.apk                           25-Oct-2024 20:12     39K
sndfile-tools-doc-1.5-r1.apk                       25-Oct-2024 20:12    361K
snikket-sdk-0_git20250120-r0.apk                   22-Mar-2025 14:35      4M
snippets-ls-0.0.4_git20240617-r4.apk               12-Apr-2025 03:09      1M
snore-0.3.1-r0.apk                                 25-Oct-2024 20:12    4571
snore-doc-0.3.1-r0.apk                             25-Oct-2024 20:12    3142
so-0.4.10-r0.apk                                   25-Oct-2024 20:12      2M
soapy-bladerf-0.4.2-r0.apk                         31-Dec-2024 01:11     51K
soapy-hackrf-0.3.4-r2.apk                          25-Oct-2024 20:12     31K
soapy-sdr-remote-0.5.2-r1.apk                      25-Oct-2024 20:12    214K
soapy-sdr-remote-doc-0.5.2-r1.apk                  25-Oct-2024 20:12    2433
soapy-sdr-remote-openrc-0.5.2-r1.apk               25-Oct-2024 20:12    1757
solanum-6.0.0-r0.apk                               11-Apr-2025 18:13    261K
solanum-lang-6.0.0-r0.apk                          11-Apr-2025 18:13     47K
solarus-engine-1.7.0-r1.apk                        20-Feb-2025 18:40      2M
solarus-engine-doc-1.7.0-r1.apk                    20-Feb-2025 18:40    3375
solarus-quest-editor-1.7.0-r1.apk                  20-Feb-2025 18:40     56M
somebar-1.0.3-r0.apk                               25-Oct-2024 20:12     50K
somebar-doc-1.0.3-r0.apk                           25-Oct-2024 20:12    2480
sonicradio-0.6.13-r1.apk                           12-Apr-2025 03:09      3M
sopwith-2.5.0-r0.apk                               25-Oct-2024 20:12     48K
sopwith-doc-2.5.0-r0.apk                           25-Oct-2024 20:12     15K
soqt-1.6.3-r0.apk                                  13-Dec-2024 20:44    239K
soqt-dev-1.6.3-r0.apk                              13-Dec-2024 20:44     84K
soqt-doc-1.6.3-r0.apk                              13-Dec-2024 20:44    881K
sos-0.8-r30.apk                                    12-Apr-2025 03:09      3M
soundconverter-4.0.6-r0.apk                        12-Nov-2024 15:33    165K
soundconverter-doc-4.0.6-r0.apk                    12-Nov-2024 15:33    4588
soundconverter-lang-4.0.6-r0.apk                   12-Nov-2024 15:33    107K
soundconverter-pyc-4.0.6-r0.apk                    12-Nov-2024 15:33     75K
spacectl-1.9.0-r2.apk                              12-Apr-2025 03:09      6M
spacectl-bash-completion-1.9.0-r2.apk              12-Apr-2025 03:09    2103
spacectl-doc-1.9.0-r2.apk                          12-Apr-2025 03:09    2346
spacectl-fish-completion-1.9.0-r2.apk              12-Apr-2025 03:09    6976
spacectl-zsh-completion-1.9.0-r2.apk               12-Apr-2025 03:09    1854
spacer-0.3.8-r0.apk                                28-Feb-2025 06:10    936K
spacer-doc-0.3.8-r0.apk                            28-Feb-2025 06:10    3087
spark-2.8.3-r1.apk                                 25-Oct-2024 20:12     29M
speedcrunch-0.12-r3.apk                            25-Oct-2024 20:12      1M
speedtest-5.2.5-r1.apk                             25-Oct-2024 20:12    253K
speedtest-doc-5.2.5-r1.apk                         25-Oct-2024 20:12     18K
speedtest-examples-5.2.5-r1.apk                    25-Oct-2024 20:12     13K
speedtest-go-1.1.5-r14.apk                         12-Apr-2025 03:09      6M
speedtest-go-doc-1.1.5-r14.apk                     12-Apr-2025 03:09    4620
speedtest-go-openrc-1.1.5-r14.apk                  12-Apr-2025 03:09    1777
speedtest_exporter-0.3.2-r14.apk                   12-Apr-2025 03:09      4M
speedtest_exporter-openrc-0.3.2-r14.apk            12-Apr-2025 03:09    1903
spice-html5-0.3.0-r1.apk                           25-Oct-2024 20:12    438K
spike-1.1.0-r0.apk                                 25-Oct-2024 20:12      1M
spin-6.5.2-r1.apk                                  25-Oct-2024 20:12    324K
spin-doc-6.5.2-r1.apk                              25-Oct-2024 20:12    6029
spiped-1.6.2-r1.apk                                25-Oct-2024 20:12     80K
spiritvnc-0.6.5-r0.apk                             03-Nov-2024 05:09     49K
spnavcfg-1.1-r0.apk                                25-Oct-2024 20:12     39K
spotify-player-0.20.4-r0.apk                       02-Mar-2025 15:56      4M
spotify-tui-0.25.0-r2.apk                          25-Oct-2024 20:12      2M
spread-sheet-widget-0.10-r0.apk                    25-Oct-2024 20:12     46K
spread-sheet-widget-dbg-0.10-r0.apk                25-Oct-2024 20:12    174K
spread-sheet-widget-dev-0.10-r0.apk                25-Oct-2024 20:12    327K
spread-sheet-widget-doc-0.10-r0.apk                25-Oct-2024 20:12    4750
spvm-errno-0.093-r0.apk                            23-Jan-2025 08:22     17K
spvm-errno-doc-0.093-r0.apk                        23-Jan-2025 08:22    6035
spvm-math-1.006-r0.apk                             04-Feb-2025 07:32     23K
spvm-math-doc-1.006-r0.apk                         04-Feb-2025 07:32    7012
spvm-mime-base64-1.003-r0.apk                      04-Feb-2025 07:32     16K
spvm-mime-base64-doc-1.003-r0.apk                  04-Feb-2025 07:32    5474
spvm-thread-0.003-r0.apk                           04-Feb-2025 07:32     12K
spvm-thread-doc-0.003-r0.apk                       04-Feb-2025 07:32    5922
sqawk-0.24.0-r0.apk                                25-Oct-2024 20:12     14K
sqawk-doc-0.24.0-r0.apk                            25-Oct-2024 20:12    113K
sqlar-0_git20180107-r1.apk                         25-Oct-2024 20:12     13K
sqlar-doc-0_git20180107-r1.apk                     25-Oct-2024 20:12    3390
sqliteodbc-0.99991-r0.apk                          25-Oct-2024 20:12     88K
sqlmap-1.9.4-r0.apk                                13-Apr-2025 23:24      7M
sqlmap-pyc-1.9.4-r0.apk                            13-Apr-2025 23:24      1M
sqlx-0.8.5-r0.apk                                  17-Apr-2025 20:20      2M
sqlx-bash-completion-0.8.5-r0.apk                  17-Apr-2025 20:20    3091
sqlx-doc-0.8.5-r0.apk                              17-Apr-2025 20:20    6142
sqlx-fish-completion-0.8.5-r0.apk                  17-Apr-2025 20:20    3633
sqlx-zsh-completion-0.8.5-r0.apk                   17-Apr-2025 20:20    4203
sqm-scripts-1.6.0-r0.apk                           25-Oct-2024 20:12     20K
sqruff-0.25.26-r0.apk                              13-Apr-2025 20:32      2M
sqruff-doc-0.25.26-r0.apk                          13-Apr-2025 20:32    8853
srain-1.8.0-r0.apk                                 18-Nov-2024 19:18    161K
srain-lang-1.8.0-r0.apk                            18-Nov-2024 19:18     35K
srb2-2.2.15-r1.apk                                 11-Apr-2025 18:13      2M
srb2-data-2.2.15-r1.apk                            11-Apr-2025 18:13    160M
sregex-0.0.1-r1.apk                                25-Oct-2024 20:12     23K
sregex-dev-0.0.1-r1.apk                            25-Oct-2024 20:12     27K
ssdfs-tools-4.09-r0.apk                            25-Oct-2024 20:12     97K
ssdfs-tools-dev-4.09-r0.apk                        25-Oct-2024 20:12     18K
ssh-cert-authority-2.0.0-r25.apk                   12-Apr-2025 03:09      5M
ssh-honeypot-0.1.1-r1.apk                          25-Oct-2024 20:12    8687
ssh-honeypot-openrc-0.1.1-r1.apk                   25-Oct-2024 20:12    2106
ssh-tools-1.8-r0.apk                               25-Oct-2024 20:12     26K
sshs-4.7.2-r0.apk                                  23-Apr-2025 22:52    743K
sshsrv-1.0-r11.apk                                 12-Apr-2025 03:09   1023K
sshuttle-1.1.2-r0.apk                              25-Oct-2024 20:12     62K
sshuttle-doc-1.1.2-r0.apk                          25-Oct-2024 20:12    8683
sshuttle-pyc-1.1.2-r0.apk                          25-Oct-2024 20:12    101K
sssd-2.10.2-r1.apk                                 21-Mar-2025 19:33      2M
sssd-dev-2.10.2-r1.apk                             21-Mar-2025 19:33     13K
sssd-openrc-2.10.2-r1.apk                          21-Mar-2025 19:33    1661
ssss-0.5.7-r0.apk                                  25-Oct-2024 20:12     13K
ssss-doc-0.5.7-r0.apk                              25-Oct-2024 20:12    3419
sstp-client-1.0.20-r1.apk                          01-Dec-2024 15:37     42K
sstp-client-doc-1.0.20-r1.apk                      01-Dec-2024 15:37    4915
stalwart-cli-0.11.6-r0.apk                         03-Feb-2025 21:24      2M
stalwart-mail-0.11.6-r0.apk                        03-Feb-2025 21:24     14M
stalwart-mail-openrc-0.11.6-r0.apk                 03-Feb-2025 21:24    2050
stardict-3.0.6-r6.apk                              25-Oct-2024 20:12    977K
stardict-doc-3.0.6-r6.apk                          25-Oct-2024 20:12    2291
stardict-help-3.0.6-r6.apk                         25-Oct-2024 20:12      3M
stardict-lang-3.0.6-r6.apk                         25-Oct-2024 20:12    290K
starfighter-2.4-r0.apk                             25-Oct-2024 20:12     48M
starfighter-doc-2.4-r0.apk                         25-Oct-2024 20:12     22K
startup-2.0.3-r5.apk                               25-Oct-2024 20:12    411K
startup-bridge-dconf-2.0.3-r5.apk                  25-Oct-2024 20:12     30K
startup-bridge-udev-2.0.3-r5.apk                   25-Oct-2024 20:12     30K
startup-dev-2.0.3-r5.apk                           25-Oct-2024 20:12    5983
startup-doc-2.0.3-r5.apk                           25-Oct-2024 20:12     48K
startup-fish-completion-2.0.3-r5.apk               25-Oct-2024 20:12    5511
startup-lang-2.0.3-r5.apk                          25-Oct-2024 20:12     17K
startup-tools-2.0.3-r5.apk                         25-Oct-2024 20:12     13K
stayrtr-0.6.2-r2.apk                               12-Apr-2025 03:09     11M
stayrtr-openrc-0.6.2-r2.apk                        12-Apr-2025 03:09    2018
steamguard-cli-0.9.6-r0.apk                        25-Oct-2024 20:12      2M
steamguard-cli-bash-completion-0.9.6-r0.apk        25-Oct-2024 20:12    2572
steamguard-cli-zsh-completion-0.9.6-r0.apk         25-Oct-2024 20:12    3654
steghide-0.5.1.1-r0.apk                            25-Oct-2024 20:12    161K
steghide-doc-0.5.1.1-r0.apk                        25-Oct-2024 20:12     14K
stern-1.32.0-r3.apk                                12-Apr-2025 03:09     19M
stern-bash-completion-1.32.0-r3.apk                12-Apr-2025 03:09    5984
stern-fish-completion-1.32.0-r3.apk                12-Apr-2025 03:09    4424
stern-zsh-completion-1.32.0-r3.apk                 12-Apr-2025 03:09    4146
sthttpd-2.27.1-r2.apk                              25-Oct-2024 20:12     62K
sthttpd-doc-2.27.1-r2.apk                          25-Oct-2024 20:12     18K
sthttpd-openrc-2.27.1-r2.apk                       25-Oct-2024 20:12    1998
stockfish-17-r0.apk                                03-Mar-2025 22:41     62M
stone-soup-0.32.1-r0.apk                           25-Oct-2024 20:12     33M
stubbyboot-1.0.4-r0.apk                            10-Jan-2025 12:38    3269
stubbyboot-efistub-1.0.4-r0.apk                    10-Jan-2025 12:38     25K
sturmreader-3.7.2-r1.apk                           29-Jan-2025 19:46      1M
sturmreader-lang-3.7.2-r1.apk                      29-Jan-2025 19:46     39K
stw-0.3-r0.apk                                     25-Oct-2024 20:12    8170
stw-doc-0.3-r0.apk                                 25-Oct-2024 20:12    2588
subdl-0_git20230616-r1.apk                         25-Oct-2024 20:12    8894
subdl-pyc-0_git20230616-r1.apk                     25-Oct-2024 20:12     14K
sublime-music-0.12.0-r1.apk                        25-Oct-2024 20:12    190K
sublime-music-pyc-0.12.0-r1.apk                    25-Oct-2024 20:12    302K
subliminal-2.2.1-r0.apk                            25-Oct-2024 20:12     68K
subliminal-pyc-2.2.1-r0.apk                        25-Oct-2024 20:12    135K
subtitleeditor-0.54.0-r3.apk                       25-Oct-2024 20:12      1M
subtitleeditor-dev-0.54.0-r3.apk                   25-Oct-2024 20:12    1560
subtitleeditor-doc-0.54.0-r3.apk                   25-Oct-2024 20:12    2937
sudo-ldap-1.9.14-r1.apk                            25-Oct-2024 20:12    680K
supercollider-3.13.0-r6.apk                        08-Feb-2025 23:44      8M
supercollider-dev-3.13.0-r6.apk                    08-Feb-2025 23:44     39K
supermin-5.2.2-r2.apk                              25-Oct-2024 20:12    493K
supermin-doc-5.2.2-r2.apk                          25-Oct-2024 20:12    9587
supersonik-0.1.0-r2.apk                            13-Apr-2025 13:26      1M
surf-2.1-r3.apk                                    25-Oct-2024 20:12     23K
surf-doc-2.1-r3.apk                                25-Oct-2024 20:12    4747
surfraw-2.3.0-r0.apk                               25-Oct-2024 20:12     79K
surfraw-doc-2.3.0-r0.apk                           25-Oct-2024 20:12     18K
suru-icon-theme-2024.10.13-r0.apk                  26-Jan-2025 00:12      3M
svgbob-0.7.6-r0.apk                                01-Feb-2025 19:51    467K
svls-0.2.12-r0.apk                                 25-Oct-2024 20:12      3M
svls-doc-0.2.12-r0.apk                             25-Oct-2024 20:12    2281
swaks-20240103.0-r0.apk                            25-Oct-2024 20:12     66K
swaks-doc-20240103.0-r0.apk                        25-Oct-2024 20:12     50K
swappy-1.5.1-r0.apk                                25-Oct-2024 20:12     33K
swappy-doc-1.5.1-r0.apk                            25-Oct-2024 20:12    3786
swappy-lang-1.5.1-r0.apk                           25-Oct-2024 20:12    3728
sway-audio-idle-inhibit-0.1.2-r0.apk               25-Oct-2024 20:12     10K
swayhide-0.2.1-r2.apk                              25-Oct-2024 20:12    263K
swhkd-1.2.1-r0.apk                                 25-Oct-2024 20:12      1M
swhkd-doc-1.2.1-r0.apk                             25-Oct-2024 20:12    6322
swi-prolog-9.2.9-r0.apk                            21-Dec-2024 10:10      5M
swi-prolog-doc-9.2.9-r0.apk                        21-Dec-2024 10:10      2M
swi-prolog-pyc-9.2.9-r0.apk                        21-Dec-2024 10:10     22K
swi-prolog-xpce-9.2.9-r0.apk                       21-Dec-2024 10:10    922K
swi-prolog-xpce-doc-9.2.9-r0.apk                   21-Dec-2024 10:10      1M
swig3-3.0.12-r3.apk                                25-Oct-2024 20:12      1M
swig3-doc-3.0.12-r3.apk                            25-Oct-2024 20:12    3737
sxcs-1.1.0-r0.apk                                  25-Oct-2024 20:12    8547
sxcs-doc-1.1.0-r0.apk                              25-Oct-2024 20:12    2699
sydbox-3.21.3-r0.apk                               25-Oct-2024 20:12      1M
sydbox-doc-3.21.3-r0.apk                           25-Oct-2024 20:12     84K
sydbox-oci-3.21.3-r0.apk                           25-Oct-2024 20:12      2M
sydbox-utils-3.21.3-r0.apk                         25-Oct-2024 20:12      6M
sydbox-vim-3.21.3-r0.apk                           25-Oct-2024 20:12    5352
sylpheed-imap-notify-1.1.0-r2.apk                  25-Oct-2024 20:12    8730
symbiyosys-0.36-r0.apk                             25-Oct-2024 20:12     38K
symengine-0.12.0-r0.apk                            25-Oct-2024 20:12      3M
symlinks-1.4.3-r0.apk                              21-Apr-2025 21:27    6230
symlinks-doc-1.4.3-r0.apk                          21-Apr-2025 21:27    3941
synapse-bt-1.0-r4.apk                              25-Oct-2024 20:12      1M
synapse-bt-cli-1.0-r4.apk                          25-Oct-2024 20:12   1013K
synapse-bt-openrc-1.0-r4.apk                       25-Oct-2024 20:12    1862
syncthing-gtk-0.9.4.5-r2.apk                       25-Oct-2024 20:12    440K
syncthing-gtk-doc-0.9.4.5-r2.apk                   25-Oct-2024 20:12    2254
syncthing-gtk-pyc-0.9.4.5-r2.apk                   25-Oct-2024 20:12    221K
systemd-boot-257.3-r2.apk                          20-Mar-2025 03:18     51K
systemd-efistub-257.3-r2.apk                       20-Mar-2025 03:18     87K
t2sz-1.1.2-r0.apk                                  25-Oct-2024 20:12    9696
tabby-3.1-r1.apk                                   25-Oct-2024 20:12     31K
tabby-doc-3.1-r1.apk                               25-Oct-2024 20:12    2307
tabiew-0.7.1-r0.apk                                25-Nov-2024 21:51      8M
tachyon-0.99_beta6-r1.apk                          25-Oct-2024 20:12    116K
tachyon-scenes-0.99_beta6-r1.apk                   25-Oct-2024 20:12      2M
tailspin-3.0.0-r0.apk                              25-Oct-2024 20:12      1M
tailspin-bash-completion-3.0.0-r0.apk              25-Oct-2024 20:12    2290
tailspin-doc-3.0.0-r0.apk                          25-Oct-2024 20:12    2771
tailspin-fish-completion-3.0.0-r0.apk              25-Oct-2024 20:12    2109
tailspin-zsh-completion-3.0.0-r0.apk               25-Oct-2024 20:12    2524
tang-15-r0.apk                                     20-Jan-2025 04:17     16K
tang-dbg-15-r0.apk                                 20-Jan-2025 04:17     31K
tang-doc-15-r0.apk                                 20-Jan-2025 04:17     21K
tang-openrc-15-r0.apk                              20-Jan-2025 04:17    1935
tangara-companion-0.4.3-r0.apk                     17-Dec-2024 20:16      1M
tangctl-0_git20241007-r3.apk                       12-Apr-2025 03:09      3M
tanidvr-1.4.1-r2.apk                               21-Mar-2025 11:52     23K
tanidvr-dhav2mkv-1.4.1-r2.apk                      21-Mar-2025 11:52     12K
tanka-0.31.3-r1.apk                                12-Apr-2025 03:09      5M
tartube-2.5.0-r1.apk                               25-Oct-2024 20:12      3M
tartube-pyc-2.5.0-r1.apk                           25-Oct-2024 20:12      1M
taskcafe-0.3.6-r12.apk                             12-Apr-2025 03:09     14M
taskcafe-openrc-0.3.6-r12.apk                      12-Apr-2025 03:09    1864
taskwarrior-tui-0.26.3-r0.apk                      26-Mar-2025 10:24      1M
taskwarrior-tui-bash-completion-0.26.3-r0.apk      26-Mar-2025 10:24    2029
taskwarrior-tui-doc-0.26.3-r0.apk                  26-Mar-2025 10:24    4034
taskwarrior-tui-fish-completion-0.26.3-r0.apk      26-Mar-2025 10:24    1777
tauri-cli-2.4.0-r0.apk                             01-Apr-2025 21:58      7M
tayga-0.9.2-r0.apk                                 25-Oct-2024 20:12     25K
tayga-doc-0.9.2-r0.apk                             25-Oct-2024 20:12    5704
tcc-0.9.27_git20250106-r0.apk                      02-Feb-2025 03:02     11K
tcc-dev-0.9.27_git20250106-r0.apk                  02-Feb-2025 03:02     46K
tcc-doc-0.9.27_git20250106-r0.apk                  02-Feb-2025 03:02     50K
tcc-libs-0.9.27_git20250106-r0.apk                 02-Feb-2025 03:02    136K
tcc-libs-static-0.9.27_git20250106-r0.apk          02-Feb-2025 03:02     10K
tcl-curl-7.22.0-r0.apk                             25-Oct-2024 20:12     32K
tcl-curl-doc-7.22.0-r0.apk                         25-Oct-2024 20:12     38K
tcl9-9.0.1-r0.apk                                  22-Dec-2024 06:22      2M
tcl9-dev-9.0.1-r0.apk                              22-Dec-2024 06:22    183K
tcl9-doc-9.0.1-r0.apk                              22-Dec-2024 06:22      1M
tdrop-0.5.0-r0.apk                                 25-Oct-2024 20:12     12K
tdrop-doc-0.5.0-r0.apk                             25-Oct-2024 20:12    9064
tealdeer-1.7.2-r0.apk                              23-Mar-2025 13:20    905K
tealdeer-bash-completion-1.7.2-r0.apk              23-Mar-2025 13:20    2013
tealdeer-fish-completion-1.7.2-r0.apk              23-Mar-2025 13:20    2261
tealdeer-zsh-completion-1.7.2-r0.apk               23-Mar-2025 13:20    2371
telegram-bot-api-9.0-r0.apk                        14-Apr-2025 01:10      7M
telegram-tdlib-1.8.47-r0.apk                       14-Apr-2025 01:06      7M
telegram-tdlib-dev-1.8.47-r0.apk                   14-Apr-2025 01:06    182K
telegram-tdlib-static-1.8.47-r0.apk                14-Apr-2025 01:06     20M
templ-0.3.850-r1.apk                               12-Apr-2025 03:09      5M
tenv-4.2.4-r2.apk                                  12-Apr-2025 03:09     10M
tenv-bash-completion-4.2.4-r2.apk                  12-Apr-2025 03:09    5188
tenv-fish-completion-4.2.4-r2.apk                  12-Apr-2025 03:09    4430
tenv-zsh-completion-4.2.4-r2.apk                   12-Apr-2025 03:09    4142
tere-1.6.0-r0.apk                                  25-Oct-2024 20:12   1021K
tere-doc-1.6.0-r0.apk                              25-Oct-2024 20:12     14K
termbox-1.1.2-r1.apk                               25-Oct-2024 20:12     11K
termbox-dev-1.1.2-r1.apk                           25-Oct-2024 20:12    5872
termbox-static-1.1.2-r1.apk                        25-Oct-2024 20:12     12K
termcolor-2.1.0-r0.apk                             25-Oct-2024 20:12    1505
termcolor-dev-2.1.0-r0.apk                         25-Oct-2024 20:12    6999
termusic-mpv-0.7.11-r0.apk                         25-Oct-2024 20:12      6M
texlab-5.19.0-r0.apk                               25-Oct-2024 20:12      9M
tfupdate-0.8.2-r5.apk                              12-Apr-2025 03:09      5M
tfupdate-doc-0.8.2-r5.apk                          12-Apr-2025 03:09    2320
theforceengine-1.09.540-r1.apk                     25-Oct-2024 20:12      7M
theforceengine-doc-1.09.540-r1.apk                 25-Oct-2024 20:12      6M
thefuck-3.32-r5.apk                                25-Oct-2024 20:12     83K
thefuck-pyc-3.32-r5.apk                            25-Oct-2024 20:12    156K
thelounge-4.4.3-r0.apk                             25-Oct-2024 20:12     28M
thelounge-doc-4.4.3-r0.apk                         25-Oct-2024 20:12    2357
thelounge-openrc-4.4.3-r0.apk                      25-Oct-2024 20:12    2085
theme.sh-1.1.5-r0.apk                              25-Oct-2024 20:12     39K
theme.sh-doc-1.1.5-r0.apk                          25-Oct-2024 20:12    2377
thermald-2.5.9-r0.apk                              25-Apr-2025 06:18    181K
thermald-doc-2.5.9-r0.apk                          25-Apr-2025 06:18    8861
thermald-openrc-2.5.9-r0.apk                       25-Apr-2025 06:18    1809
throttled-0.10.0-r1.apk                            15-Dec-2024 19:26     15K
throttled-openrc-0.10.0-r1.apk                     15-Dec-2024 19:26    1644
throttled-pyc-0.10.0-r1.apk                        15-Dec-2024 19:26     28K
thumbdrives-0.3.2-r2.apk                           25-Oct-2024 20:12     11K
thunar-gtkhash-plugin-1.5-r0.apk                   25-Oct-2024 20:12     26K
thunarx-python-0.5.2-r2.apk                        25-Oct-2024 20:12     10K
thunarx-python-doc-0.5.2-r2.apk                    25-Oct-2024 20:12     25K
tick-1.2.2-r0.apk                                  14-Jan-2025 01:26     11K
tick-doc-1.2.2-r0.apk                              14-Jan-2025 01:26    5595
ticker-4.8.1-r1.apk                                12-Apr-2025 03:09      4M
ticker-bash-completion-4.8.1-r1.apk                12-Apr-2025 03:09    4690
ticker-fish-completion-4.8.1-r1.apk                12-Apr-2025 03:09    3981
ticker-zsh-completion-4.8.1-r1.apk                 12-Apr-2025 03:09    3820
timeshift-24.06.6-r0.apk                           23-Apr-2025 22:52    473K
timeshift-doc-24.06.6-r0.apk                       23-Apr-2025 22:52    3240
timeshift-lang-24.06.6-r0.apk                      23-Apr-2025 22:52    917K
timew-1.4.3-r1.apk                                 25-Oct-2024 20:12    294K
timew-bash-completion-1.4.3-r1.apk                 25-Oct-2024 20:12    2829
timew-doc-1.4.3-r1.apk                             25-Oct-2024 20:12     53K
timewarrior-1.7.1-r0.apk                           25-Oct-2024 20:12    290K
timewarrior-doc-1.7.1-r0.apk                       25-Oct-2024 20:12     22K
timoni-0.23.0-r4.apk                               12-Apr-2025 03:09     25M
timoni-bash-completion-0.23.0-r4.apk               12-Apr-2025 03:09    8152
timoni-doc-0.23.0-r4.apk                           12-Apr-2025 03:09    338K
timoni-fish-completion-0.23.0-r4.apk               12-Apr-2025 03:09    4422
timoni-zsh-completion-0.23.0-r4.apk                12-Apr-2025 03:09    4143
tintin-2.02.31-r0.apk                              25-Oct-2024 20:12      2M
tiny-0.13.0-r0.apk                                 01-Jan-2025 18:11    580K
tiny-doc-0.13.0-r0.apk                             01-Jan-2025 18:11    5608
tinycbor-0.6.0-r1.apk                              25-Oct-2024 20:12     17K
tinycbor-dev-0.6.0-r1.apk                          25-Oct-2024 20:12    8570
tinygltf-2.9.4-r0.apk                              19-Jan-2025 11:55    158K
tinygltf-dev-2.9.4-r0.apk                          19-Jan-2025 11:55     57K
tinyscheme-1.42-r1.apk                             25-Oct-2024 20:12     63K
tiptop-2.3.1-r2.apk                                25-Oct-2024 20:12     34K
tiptop-doc-2.3.1-r2.apk                            25-Oct-2024 20:12    7240
tk9-9.0.1-r0.apk                                   22-Dec-2024 06:22    837K
tk9-dev-9.0.1-r0.apk                               22-Dec-2024 06:22     81K
tk9-doc-9.0.1-r0.apk                               22-Dec-2024 06:22      1M
tldr-python-client-3.3.0-r0.apk                    01-Dec-2024 17:09     12K
tldr-python-client-doc-3.3.0-r0.apk                01-Dec-2024 17:09    3589
tldr-python-client-pyc-3.3.0-r0.apk                01-Dec-2024 17:09     14K
tmate-2.4.0-r4.apk                                 25-Oct-2024 20:12    296K
tmate-doc-2.4.0-r4.apk                             25-Oct-2024 20:12     72K
tmpl-0.4.0-r10.apk                                 12-Apr-2025 03:09      3M
tmpl-doc-0.4.0-r10.apk                             12-Apr-2025 03:09    2307
tmpmail-1.2.3-r2.apk                               25-Oct-2024 20:12    7191
tmpmail-doc-1.2.3-r2.apk                           25-Oct-2024 20:12    3327
tmux-resurrect-4.0.0-r0.apk                        25-Oct-2024 20:12     14K
tmux-resurrect-doc-4.0.0-r0.apk                    25-Oct-2024 20:12    8592
tncattach-0.1.9-r1.apk                             25-Oct-2024 20:12     23K
tncattach-doc-0.1.9-r1.apk                         25-Oct-2024 20:12    4003
tnef-1.4.18-r0.apk                                 25-Oct-2024 20:12     25K
tnef-doc-1.4.18-r0.apk                             25-Oct-2024 20:12    4326
toapk-1.0-r0.apk                                   25-Oct-2024 20:12     11K
today-6.2.0-r0.apk                                 25-Oct-2024 20:12    3218
today-doc-6.2.0-r0.apk                             25-Oct-2024 20:12    3354
toml2json-1.3.1-r0.apk                             25-Oct-2024 20:12    370K
toml2json-doc-1.3.1-r0.apk                         25-Oct-2024 20:12    3385
tootik-0.15.6-r0.apk                               12-Apr-2025 06:04      4M
tootik-openrc-0.15.6-r0.apk                        12-Apr-2025 06:04    3205
topgit-0.19.13-r1.apk                              25-Oct-2024 20:12    127K
topgit-bash-completion-0.19.13-r1.apk              25-Oct-2024 20:12    4122
topgit-doc-0.19.13-r1.apk                          25-Oct-2024 20:12     73K
torrent-file-editor-0.3.18-r0.apk                  25-Oct-2024 20:12    376K
toss-1.1-r0.apk                                    25-Oct-2024 20:12     11K
toybox-0.8.11-r1.apk                               25-Oct-2024 20:12    284K
tpm2-pkcs11-1.9.1-r0.apk                           25-Oct-2024 20:12    128K
tpm2-pkcs11-dev-1.9.1-r0.apk                       25-Oct-2024 20:12    1916
tpm2-pkcs11-pyc-1.9.1-r0.apk                       25-Oct-2024 20:12     69K
trace-cmd-3.3.1-r1.apk                             19-Jan-2025 11:55    173K
trace-cmd-bash-completion-3.3.1-r1.apk             19-Jan-2025 11:55    3429
trace-cmd-dbg-3.3.1-r1.apk                         19-Jan-2025 11:55    473K
trace-cmd-doc-3.3.1-r1.apk                         19-Jan-2025 11:55    171K
transito-0.9.1-r5.apk                              12-Apr-2025 03:09      9M
transito-doc-0.9.1-r5.apk                          12-Apr-2025 03:09    755K
transmission-remote-gtk-1.6.0-r0.apk               25-Oct-2024 20:12    151K
transmission-remote-gtk-doc-1.6.0-r0.apk           25-Oct-2024 20:12    4326
transmission-remote-gtk-lang-1.6.0-r0.apk          25-Oct-2024 20:12    106K
trantor-1.5.18-r0.apk                              25-Oct-2024 20:12    252K
trantor-dev-1.5.18-r0.apk                          25-Oct-2024 20:12     34K
trantor-doc-1.5.18-r0.apk                          25-Oct-2024 20:12    2675
tre-0.8.0-r2.apk                                   25-Oct-2024 20:12     27K
tre-dev-0.8.0-r2.apk                               25-Oct-2024 20:12    5322
tre-static-0.8.0-r2.apk                            25-Oct-2024 20:12     28K
tree-sitter-c-sharp-0.23.1-r1.apk                  26-Feb-2025 17:29    345K
tree-sitter-caddy-0_git20230322-r0.apk             25-Oct-2024 20:12     70K
tree-sitter-caddy-doc-0_git20230322-r0.apk         25-Oct-2024 20:12    2342
tree-sitter-clojure-0.0.12-r0.apk                  25-Oct-2024 20:12     23K
tree-sitter-dart-0_git20250228-r0.apk              10-Mar-2025 22:57     99K
tree-sitter-git-commit-0_git20211225-r3.apk        26-Feb-2025 17:29     13K
tree-sitter-git-diff-0_git20230730-r0.apk          25-Oct-2024 20:12     10K
tree-sitter-git-rebase-0_git20240722-r0.apk        10-Mar-2025 22:57    5346
tree-sitter-gleam-1.0.0-r0.apk                     25-Oct-2024 20:12     42K
tree-sitter-hare-0_git20230616-r1.apk              25-Oct-2024 20:12     33K
tree-sitter-haskell-0.23.1-r0.apk                  09-Jan-2025 15:52    284K
tree-sitter-hcl-1.1.0-r1.apk                       25-Oct-2024 20:12     42K
tree-sitter-just-0_git20230318-r0.apk              25-Oct-2024 20:12     14K
tree-sitter-kotlin-0.3.8-r0.apk                    25-Oct-2024 20:12    318K
tree-sitter-make-0_git20211216-r2.apk              25-Oct-2024 20:12     41K
tree-sitter-nix-0.0.2-r0.apk                       02-Feb-2025 03:19     22K
tree-sitter-nix-doc-0.0.2-r0.apk                   02-Feb-2025 03:19    2249
tree-sitter-pascal-0.9.1-r0.apk                    25-Oct-2024 20:12     81K
tree-sitter-pascal-doc-0.9.1-r0.apk                25-Oct-2024 20:12    2324
tree-sitter-php-0.23.12-r0.apk                     19-Mar-2025 11:46     85K
tree-sitter-ron-0.2.0-r0.apk                       25-Oct-2024 20:12     28K
tree-sitter-scheme-0.23.0-r0.apk                   25-Oct-2024 20:12     23K
tree-sitter-scheme-doc-0.23.0-r0.apk               25-Oct-2024 20:12    2290
tree-sitter-ssh-client-config-2025.4.17-r0.apk     17-Apr-2025 18:12     82K
tree-sitter-xml-0.7.0-r0.apk                       14-Nov-2024 13:12     31K
tree-sitter-xml-doc-0.7.0-r0.apk                   14-Nov-2024 13:12    2251
tree-sitter-yaml-0.7.0-r0.apk                      12-Apr-2025 13:06     37K
tree-sitter-yaml-doc-0.7.0-r0.apk                  12-Apr-2025 13:06    2322
tremc-0.9.3-r0.apk                                 25-Oct-2024 20:12     48K
tremc-bash-completion-0.9.3-r0.apk                 25-Oct-2024 20:12    1725
tremc-doc-0.9.3-r0.apk                             25-Oct-2024 20:12    2684
tremc-zsh-completion-0.9.3-r0.apk                  25-Oct-2024 20:12    1646
trigger-rally-0.6.7-r3.apk                         25-Mar-2025 15:24    315K
trigger-rally-data-0.6.7-r3.apk                    25-Mar-2025 15:24    352M
trigger-rally-doc-0.6.7-r3.apk                     25-Mar-2025 15:24     28K
trippy-0.12.2-r0.apk                               04-Jan-2025 22:30      2M
trippy-bash-completion-0.12.2-r0.apk               04-Jan-2025 22:30    3183
trippy-zsh-completion-0.12.2-r0.apk                04-Jan-2025 22:30    4783
trivy-0.61.1-r0.apk                                20-Apr-2025 20:13     67M
tsung-1.8.0-r2.apk                                 25-Oct-2024 20:13    730K
ttfautohint-1.8.4-r0.apk                           25-Oct-2024 20:13     28K
ttfautohint-dev-1.8.4-r0.apk                       25-Oct-2024 20:13    153K
ttfautohint-doc-1.8.4-r0.apk                       25-Oct-2024 20:13    8291
ttfautohint-gui-1.8.4-r0.apk                       25-Oct-2024 20:13     61K
ttfautohint-libs-1.8.4-r0.apk                      25-Oct-2024 20:13    103K
tty-clock-2.3_git20240104-r0.apk                   25-Oct-2024 20:13    8618
tty-clock-doc-2.3_git20240104-r0.apk               25-Oct-2024 20:13    3192
tty-proxy-0.0.2-r27.apk                            12-Apr-2025 03:09      3M
tty-share-2.4.0-r17.apk                            12-Apr-2025 03:09      4M
ttyper-1.6.0-r0.apk                                05-Feb-2025 21:47    610K
tui-journal-0.10.0-r0.apk                          25-Oct-2024 20:13      2M
tui-journal-doc-0.10.0-r0.apk                      25-Oct-2024 20:13    7060
tuned-2.25.1-r1.apk                                19-Mar-2025 11:46    159K
tuned-bash-completion-2.25.1-r1.apk                19-Mar-2025 11:46    1907
tuned-doc-2.25.1-r1.apk                            19-Mar-2025 11:46     79K
tuned-gtk-2.25.1-r1.apk                            19-Mar-2025 11:46     22K
tuned-openrc-2.25.1-r1.apk                         19-Mar-2025 11:46    1680
tuned-ppd-2.25.1-r1.apk                            19-Mar-2025 11:46    3690
tuned-ppd-openrc-2.25.1-r1.apk                     19-Mar-2025 11:46    1733
tuned-profiles-2.25.1-r1.apk                       19-Mar-2025 11:46    8293
tuned-profiles-compat-2.25.1-r1.apk                19-Mar-2025 11:46    3603
tuned-pyc-2.25.1-r1.apk                            19-Mar-2025 11:46    333K
tuned-utils-2.25.1-r1.apk                          19-Mar-2025 11:46     11K
tup-0.7.11-r0.apk                                  25-Oct-2024 20:13    271K
tup-doc-0.7.11-r0.apk                              25-Oct-2024 20:13     21K
tup-vim-0.7.11-r0.apk                              25-Oct-2024 20:13    2634
tuptime-5.2.4-r1.apk                               28-Nov-2024 22:44     14K
tuptime-doc-5.2.4-r1.apk                           28-Nov-2024 22:44    3834
tuptime-openrc-5.2.4-r1.apk                        28-Nov-2024 22:44    1733
turn-rs-3.4.0-r0.apk                               15-Mar-2025 23:04    597K
turn-rs-doc-3.4.0-r0.apk                           15-Mar-2025 23:04     11K
turn-rs-openrc-3.4.0-r0.apk                        15-Mar-2025 23:04    1949
turnstile-0.1.10-r3.apk                            25-Oct-2024 20:13     39K
turnstile-doc-0.1.10-r3.apk                        25-Oct-2024 20:13    5839
turnstile-openrc-0.1.10-r3.apk                     25-Oct-2024 20:13    1843
twemproxy-0.5.0-r0.apk                             25-Oct-2024 20:13     71K
twemproxy-doc-0.5.0-r0.apk                         25-Oct-2024 20:13     17K
twiggy-0.6.0-r3.apk                                25-Oct-2024 20:13    792K
twinkle-1.10.3-r3.apk                              04-Jan-2025 21:55      3M
twinkle-doc-1.10.3-r3.apk                          04-Jan-2025 21:55    3578
typobuster-1.0.0-r0.apk                            23-Apr-2025 22:52    129K
typos-1.30.2-r0.apk                                06-Apr-2025 17:07      2M
typos-doc-1.30.2-r0.apk                            06-Apr-2025 17:07    6167
typos-lsp-0.1.36-r0.apk                            04-Apr-2025 05:40      2M
typos-lsp-doc-0.1.36-r0.apk                        04-Apr-2025 05:40    2273
typstyle-0.12.14-r0.apk                            12-Jan-2025 13:44    529K
u1db-qt-0.1.8-r0.apk                               25-Oct-2024 20:13    105K
uasm-2.56.2-r0.apk                                 25-Oct-2024 20:13    273K
ubase-20200605-r3.apk                              25-Oct-2024 20:13     46K
ubase-doc-20200605-r3.apk                          25-Oct-2024 20:13     21K
ubuntu-archive-keyring-2023.11.28.1-r0.apk         25-Oct-2024 20:13     16K
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk     25-Oct-2024 20:13    2342
uclient-20210514-r0.apk                            25-Oct-2024 20:13     14K
uclient-dev-20210514-r0.apk                        25-Oct-2024 20:13    3264
uclient-fetch-20210514-r0.apk                      25-Oct-2024 20:13     10K
udpt-3.1.2-r0.apk                                  25-Oct-2024 20:13    716K
udpt-openrc-3.1.2-r0.apk                           25-Oct-2024 20:13    1851
ueberzug-18.3.1-r0.apk                             22-Feb-2025 11:09     65K
ueberzug-pyc-18.3.1-r0.apk                         22-Feb-2025 11:09     64K
uhttpd-0_git20250406-r0.apk                        21-Apr-2025 11:30     26K
uhttpd-mod-lua-0_git20250406-r0.apk                21-Apr-2025 11:30    5872
uhttpd-mod-tls-0_git20250406-r0.apk                21-Apr-2025 11:30    1333
uhttpd-mod-ucode-0_git20250406-r0.apk              21-Apr-2025 11:30    7056
uhttpd-openrc-0_git20250406-r0.apk                 21-Apr-2025 11:30    4158
ukify-257.3-r2.apk                                 20-Mar-2025 03:18     21K
ukify-kernel-hook-257.3-r2.apk                     20-Mar-2025 03:18    3595
undock-0.9.0-r4.apk                                12-Apr-2025 03:09     10M
unicorn-2.0.1-r4.apk                               25-Oct-2024 20:13      9M
unicorn-dev-2.0.1-r4.apk                           25-Oct-2024 20:13     10M
unit-php81-1.34.2-r1.apk                           24-Apr-2025 00:44     31K
up-0.4-r28.apk                                     12-Apr-2025 03:09      1M
upterm-0.14.3-r4.apk                               12-Apr-2025 03:09      6M
upterm-bash-completion-0.14.3-r4.apk               12-Apr-2025 03:09    5666
upterm-doc-0.14.3-r4.apk                           12-Apr-2025 03:09    6537
upterm-server-0.14.3-r4.apk                        12-Apr-2025 03:09      6M
upterm-server-openrc-0.14.3-r4.apk                 12-Apr-2025 03:09    1928
upterm-zsh-completion-0.14.3-r4.apk                12-Apr-2025 03:09    4144
uranium-5.2.2-r3.apk                               25-Oct-2024 20:13    596K
urlwatch-2.28-r2.apk                               25-Oct-2024 20:13     49K
urlwatch-doc-2.28-r2.apk                           25-Oct-2024 20:13     33K
urlwatch-pyc-2.28-r2.apk                           25-Oct-2024 20:13    101K
usbmuxd-1.1.1-r9.apk                               18-Apr-2025 14:39     35K
usbmuxd-doc-1.1.1-r9.apk                           18-Apr-2025 14:39    3067
usbmuxd-udev-1.1.1-r9.apk                          18-Apr-2025 14:39    2059
usbtop-1.0-r0.apk                                  12-Apr-2025 12:26     14K
ustr-1.0.4-r1.apk                                  25-Oct-2024 20:13     54K
ustr-debug-1.0.4-r1.apk                            25-Oct-2024 20:13     77K
ustr-dev-1.0.4-r1.apk                              25-Oct-2024 20:13     91K
ustr-doc-1.0.4-r1.apk                              25-Oct-2024 20:13     97K
ustr-static-1.0.4-r1.apk                           25-Oct-2024 20:13    147K
ustream-ssl-20220116-r1.apk                        25-Oct-2024 20:13    7906
ustream-ssl-dev-20220116-r1.apk                    25-Oct-2024 20:13    2627
utop-2.9.1-r4.apk                                  25-Oct-2024 20:13     13M
utop-common-2.9.1-r4.apk                           25-Oct-2024 20:13    2093
utop-doc-2.9.1-r4.apk                              25-Oct-2024 20:13    5886
utop-emacs-2.9.1-r4.apk                            25-Oct-2024 20:13     13K
utop-full-2.9.1-r4.apk                             25-Oct-2024 20:13     13M
uucp-1.07-r6.apk                                   25-Oct-2024 20:13    479K
uucp-doc-1.07-r6.apk                               25-Oct-2024 20:13    118K
uxn-1.0-r0.apk                                     25-Oct-2024 20:13     43K
uxn-doc-1.0-r0.apk                                 25-Oct-2024 20:13    4282
uxplay-1.71.1-r0.apk                               25-Jan-2025 16:17    220K
uxplay-doc-1.71.1-r0.apk                           25-Jan-2025 16:17    4447
vals-0.39.4-r1.apk                                 12-Apr-2025 03:09     30M
varnish-modules-0.24.0-r0.apk                      25-Oct-2024 20:13     39K
varnish-modules-doc-0.24.0-r0.apk                  25-Oct-2024 20:13     21K
vbindiff-3.0_beta5-r1.apk                          25-Oct-2024 20:13     22K
vbindiff-doc-3.0_beta5-r1.apk                      25-Oct-2024 20:13    5586
vcdimager-2.0.1-r5.apk                             22-Jan-2025 10:33    477K
vcdimager-dev-2.0.1-r5.apk                         22-Jan-2025 10:33    120K
vcdimager-doc-2.0.1-r5.apk                         22-Jan-2025 10:33     74K
vcsh-2.0.5-r0.apk                                  25-Oct-2024 20:13    9006
vcsh-bash-completion-2.0.5-r0.apk                  25-Oct-2024 20:13    2994
vcsh-doc-2.0.5-r0.apk                              25-Oct-2024 20:13     27K
vcsh-zsh-completion-2.0.5-r0.apk                   25-Oct-2024 20:13    2997
vcstool-0.3.0-r5.apk                               25-Oct-2024 20:13     35K
vcstool-bash-completion-0.3.0-r5.apk               25-Oct-2024 20:13    1799
vcstool-pyc-0.3.0-r5.apk                           25-Oct-2024 20:13     58K
vcstool-tcsh-completion-0.3.0-r5.apk               25-Oct-2024 20:13    1676
vcstool-zsh-completion-0.3.0-r5.apk                25-Oct-2024 20:13    1768
vdr-plugin-dvbapi-2.2.5-r0.apk                     25-Oct-2024 20:13     62K
vdr-plugin-dvbapi-lang-2.2.5-r0.apk                25-Oct-2024 20:13    2571
vectoroids-1.1.0-r2.apk                            25-Oct-2024 20:13    281K
vectoroids-doc-1.1.0-r2.apk                        25-Oct-2024 20:13    2350
vera++-1.3.0-r10.apk                               25-Oct-2024 20:13    261K
vfd-configurations-0_git20230612-r0.apk            25-Oct-2024 20:13     25K
vice-3.9-r0.apk                                    24-Feb-2025 21:15     13M
vice-doc-3.9-r0.apk                                24-Feb-2025 21:15      2M
vidcutter-6.0.5.3-r0.apk                           25-Oct-2024 20:13      3M
vidcutter-doc-6.0.5.3-r0.apk                       25-Oct-2024 20:13     24K
vidcutter-pyc-6.0.5.3-r0.apk                       25-Oct-2024 20:13      2M
video-trimmer-0.9.0-r0.apk                         25-Oct-2024 20:13    796K
video-trimmer-lang-0.9.0-r0.apk                    25-Oct-2024 20:13     91K
viewnior-1.8-r1.apk                                25-Oct-2024 20:13     75K
viewnior-doc-1.8-r1.apk                            25-Oct-2024 20:13    2147
viewnior-lang-1.8-r1.apk                           25-Oct-2024 20:13     85K
vile-9.8z_p1-r0.apk                                20-Mar-2025 03:18    771K
vile-common-9.8z_p1-r0.apk                         20-Mar-2025 03:18    355K
vile-doc-9.8z_p1-r0.apk                            20-Mar-2025 03:18    357K
vim-airline-0.11-r0.apk                            25-Oct-2024 20:13     86K
vim-airline-doc-0.11-r0.apk                        25-Oct-2024 20:13     12K
vim-nerdtree-7.1.3-r0.apk                          04-Mar-2025 21:00     67K
vim-rust-305-r0.apk                                25-Oct-2024 20:13     20K
virtctl-1.5.0-r1.apk                               12-Apr-2025 03:09     16M
virtctl-bash-completion-1.5.0-r1.apk               12-Apr-2025 03:09    5251
virtctl-fish-completion-1.5.0-r1.apk               12-Apr-2025 03:09    4432
virtctl-zsh-completion-1.5.0-r1.apk                12-Apr-2025 03:09    4144
virter-0.28.1-r4.apk                               12-Apr-2025 03:09      6M
virter-bash-completion-0.28.1-r4.apk               12-Apr-2025 03:09    5200
virter-doc-0.28.1-r4.apk                           12-Apr-2025 03:09     15K
virter-fish-completion-0.28.1-r4.apk               12-Apr-2025 03:09    4436
virter-zsh-completion-0.28.1-r4.apk                12-Apr-2025 03:09    4154
virtualgl-3.1.3-r0.apk                             21-Apr-2025 21:46      2M
virtualgl-dev-3.1.3-r0.apk                         21-Apr-2025 21:46    6262
virtualgl-doc-3.1.3-r0.apk                         21-Apr-2025 21:46    314K
visidata-2.11.1-r2.apk                             25-Oct-2024 20:13    248K
visidata-doc-2.11.1-r2.apk                         25-Oct-2024 20:13     16K
visidata-pyc-2.11.1-r2.apk                         25-Oct-2024 20:13    497K
visidata-zsh-completion-2.11.1-r2.apk              25-Oct-2024 20:13    7346
vit-2.3.2-r1.apk                                   25-Oct-2024 20:13     80K
vit-pyc-2.3.2-r1.apk                               25-Oct-2024 20:13    151K
vkbasalt-0.3.2.10-r0.apk                           25-Oct-2024 20:13    408K
vkbasalt-doc-0.3.2.10-r0.apk                       25-Oct-2024 20:13    3150
vmtouch-1.3.1-r0.apk                               25-Oct-2024 20:13     14K
vmtouch-doc-1.3.1-r0.apk                           25-Oct-2024 20:13    8233
voikko-fi-2.5-r0.apk                               25-Oct-2024 20:13      2M
volatility3-2.11.0-r0.apk                          13-Feb-2025 07:45    563K
volatility3-doc-2.11.0-r0.apk                      13-Feb-2025 07:45    3154
volatility3-pyc-2.11.0-r0.apk                      13-Feb-2025 07:45      1M
volumeicon-0.5.1-r1.apk                            25-Oct-2024 20:13     45K
volumeicon-lang-0.5.1-r1.apk                       25-Oct-2024 20:13    3853
vym-2.9.26-r0.apk                                  25-Oct-2024 20:13      3M
vym-doc-2.9.26-r0.apk                              25-Oct-2024 20:13      3M
w_scan2-1.0.16-r0.apk                              17-Feb-2025 09:35    132K
w_scan2-doc-1.0.16-r0.apk                          17-Feb-2025 09:35    4190
wabt-1.0.37-r0.apk                                 06-Apr-2025 21:38      5M
wabt-doc-1.0.37-r0.apk                             06-Apr-2025 21:38     13K
waifu2x-converter-cpp-5.3.4-r8.apk                 16-Jan-2025 22:07     12M
wakeonlan-0.42-r0.apk                              25-Oct-2024 20:13    4612
wakeonlan-doc-0.42-r0.apk                          25-Oct-2024 20:13    7694
walk-1.13.0-r2.apk                                 12-Apr-2025 03:09      3M
walk-doc-1.13.0-r2.apk                             12-Apr-2025 03:09    2290
walk-sor-0_git20190920-r1.apk                      25-Oct-2024 20:13    5730
walk-sor-doc-0_git20190920-r1.apk                  25-Oct-2024 20:13    7954
wamrc-2.2.0-r0.apk                                 05-Dec-2024 15:55    469K
warpinator-1.8.8-r1.apk                            01-Apr-2025 05:45    216K
warpinator-lang-1.8.8-r1.apk                       01-Apr-2025 05:45    222K
warpinator-nemo-1.8.8-r1.apk                       01-Apr-2025 05:45    4212
wasm-micro-runtime-2.2.0-r0.apk                    05-Dec-2024 15:55    1232
watchbind-0.2.1-r1.apk                             25-Oct-2024 20:13      1M
watchbind-doc-0.2.1-r1.apk                         25-Oct-2024 20:13    6722
watchdog-5.16-r2.apk                               25-Oct-2024 20:13     41K
watchdog-doc-5.16-r2.apk                           25-Oct-2024 20:13     14K
watchmate-0.5.2-r0.apk                             25-Oct-2024 20:13      2M
watershot-0.2.0-r0.apk                             25-Oct-2024 20:13      2M
way-displays-1.14.0-r0.apk                         20-Apr-2025 19:57    112K
way-displays-doc-1.14.0-r0.apk                     20-Apr-2025 19:57    4658
way-secure-0.2.0-r0.apk                            18-Apr-2025 22:10    208K
way-secure-doc-0.2.0-r0.apk                        18-Apr-2025 22:10    3105
waycheck-1.6.0-r0.apk                              23-Feb-2025 22:28     45K
wayfire-0.9.0-r0.apk                               27-Feb-2025 20:18      3M
wayfire-dev-0.9.0-r0.apk                           27-Feb-2025 20:18    130K
wayfire-doc-0.9.0-r0.apk                           27-Feb-2025 20:18    3727
wayfire-plugins-extra-0.9.0-r0.apk                 27-Feb-2025 20:18    592K
waylevel-1.0.0-r1.apk                              25-Oct-2024 20:13    306K
waynergy-0.0.17-r0.apk                             25-Oct-2024 20:13     46K
wayqt-0.3.0-r0.apk                                 21-Apr-2025 21:41    110K
wayqt-dev-0.3.0-r0.apk                             21-Apr-2025 21:41     18K
wbg-1.2.0-r0.apk                                   25-Oct-2024 20:13     38K
wch-isp-0.4.1-r2.apk                               25-Oct-2024 20:13     10K
wch-isp-doc-0.4.1-r2.apk                           25-Oct-2024 20:13    2723
wch-isp-udev-rules-0.4.1-r2.apk                    25-Oct-2024 20:13    1688
wcm-0.9.0-r0.apk                                   27-Feb-2025 20:18    372K
webhookd-1.20.1-r3.apk                             12-Apr-2025 03:09      3M
webhookd-doc-1.20.1-r3.apk                         12-Apr-2025 03:09    2318
webhookd-openrc-1.20.1-r3.apk                      12-Apr-2025 03:09    2308
weggli-0.2.4-r1.apk                                25-Oct-2024 20:13    824K
welle-cli-2.7-r0.apk                               07-Apr-2025 18:03    320K
welle-io-2.7-r0.apk                                07-Apr-2025 18:03    422K
welle-io-doc-2.7-r0.apk                            07-Apr-2025 18:03    4073
wf-config-0.9.0-r0.apk                             27-Feb-2025 20:18    120K
wf-config-dev-0.9.0-r0.apk                         27-Feb-2025 20:18     16K
wf-shell-0.9.0-r0.apk                              27-Feb-2025 20:18      6M
wf-shell-dev-0.9.0-r0.apk                          27-Feb-2025 20:18    1691
wf-shell-doc-0.9.0-r0.apk                          27-Feb-2025 20:18    3154
wgcf-2.2.25-r1.apk                                 12-Apr-2025 03:09      4M
wgcf-bash-completion-2.2.25-r1.apk                 12-Apr-2025 03:09    6246
wgcf-fish-completion-2.2.25-r1.apk                 12-Apr-2025 03:09    4422
wgcf-zsh-completion-2.2.25-r1.apk                  12-Apr-2025 03:09    4144
whatsie-4.16.3-r0.apk                              12-Jan-2025 13:12     15M
whatsie-doc-4.16.3-r0.apk                          12-Jan-2025 13:12    2253
wiimms-iso-tools-3.04a-r1.apk                      25-Oct-2024 20:13      4M
wiimms-iso-tools-doc-3.04a-r1.apk                  25-Oct-2024 20:13     65K
wiimms-szs-tools-2.26a-r0.apk                      25-Oct-2024 20:13      5M
wiimms-szs-tools-doc-2.26a-r0.apk                  25-Oct-2024 20:13     50K
wiki-tui-0.8.2-r1.apk                              25-Oct-2024 20:13      2M
wiki-tui-doc-0.8.2-r1.apk                          25-Oct-2024 20:13    4718
wine-mono-9.4.0-r0.apk                             24-Dec-2024 10:06     80M
wine-staging-10.6-r0.apk                           22-Apr-2025 14:39     68M
wine-staging-dev-10.6-r0.apk                       22-Apr-2025 14:39     10M
wine-staging-doc-10.6-r0.apk                       22-Apr-2025 14:39     43K
winetricks-20250102-r0.apk                         05-Feb-2025 22:20    172K
winetricks-bash-completion-20250102-r0.apk         05-Feb-2025 22:20    8848
winetricks-doc-20250102-r0.apk                     05-Feb-2025 22:20    3774
wireguard-go-0.0.20230223-r11.apk                  12-Apr-2025 03:09      1M
wireguard-go-doc-0.0.20230223-r11.apk              12-Apr-2025 03:09    3645
wiremapper-0.10.0-r0.apk                           25-Oct-2024 20:13     22K
witchery-0.0.3-r2.apk                              25-Oct-2024 20:13    3275
wk-adblock-0.0.4-r5.apk                            25-Oct-2024 20:13    172K
wk-adblock-doc-0.0.4-r5.apk                        25-Oct-2024 20:13    2144
wl-clipboard-x11-5-r3.apk                          25-Oct-2024 20:13    3499
wl-clipboard-x11-doc-5-r3.apk                      25-Oct-2024 20:13    3009
wl-gammarelay-0.1.1-r13.apk                        12-Apr-2025 03:09      2M
wl-ime-type-0.1.1-r0.apk                           13-Apr-2025 09:13    5619
wl-ime-type-doc-0.1.1-r0.apk                       13-Apr-2025 09:13    2248
wl-screenrec-0.1.7-r0.apk                          12-Apr-2025 21:20    569K
wl-screenrec-bash-completion-0.1.7-r0.apk          12-Apr-2025 21:20    2478
wl-screenrec-doc-0.1.7-r0.apk                      12-Apr-2025 21:20    9733
wl-screenrec-fish-completion-0.1.7-r0.apk          12-Apr-2025 21:20    3300
wl-screenrec-zsh-completion-0.1.7-r0.apk           12-Apr-2025 21:20    3742
wlavu-0_git20201101-r1.apk                         25-Oct-2024 20:13     12K
wlclock-1.0.1-r0.apk                               25-Oct-2024 20:13     17K
wlclock-doc-1.0.1-r0.apk                           25-Oct-2024 20:13    3366
wlroots0.12-0.12.0-r1.apk                          25-Oct-2024 20:13    275K
wlroots0.12-dbg-0.12.0-r1.apk                      25-Oct-2024 20:13    862K
wlroots0.12-dev-0.12.0-r1.apk                      25-Oct-2024 20:13     61K
wlroots0.15-0.15.1-r6.apk                          25-Oct-2024 20:13    296K
wlroots0.15-dbg-0.15.1-r6.apk                      25-Oct-2024 20:13      1M
wlroots0.15-dev-0.15.1-r6.apk                      25-Oct-2024 20:13     70K
wlroots0.16-0.16.2-r0.apk                          28-Oct-2024 13:47    348K
wlroots0.16-dbg-0.16.2-r0.apk                      28-Oct-2024 13:47      1M
wlroots0.16-dev-0.16.2-r0.apk                      28-Oct-2024 13:47     71K
wlroots0.17-0.17.4-r1.apk                          23-Nov-2024 09:00    390K
wlroots0.17-dbg-0.17.4-r1.apk                      23-Nov-2024 09:00      1M
wlroots0.17-dev-0.17.4-r1.apk                      23-Nov-2024 09:00     77K
wmctrl-1.07-r1.apk                                 25-Oct-2024 20:13     14K
wmctrl-doc-1.07-r1.apk                             25-Oct-2024 20:13    5221
wmi-client-1.3.16-r5.apk                           25-Oct-2024 20:13      2M
wok-3.0.0-r6.apk                                   25-Oct-2024 20:13    157K
wok-doc-3.0.0-r6.apk                               25-Oct-2024 20:13    3757
wok-lang-3.0.0-r6.apk                              25-Oct-2024 20:13     16K
wok-pyc-3.0.0-r6.apk                               25-Oct-2024 20:13    119K
wol-0.7.1-r3.apk                                   25-Oct-2024 20:13     25K
wol-doc-0.7.1-r3.apk                               25-Oct-2024 20:13    5656
wol-lang-0.7.1-r3.apk                              25-Oct-2024 20:13    8353
wolfssh-1.4.17-r0.apk                              25-Oct-2024 20:13    139K
wolfssh-dev-1.4.17-r0.apk                          25-Oct-2024 20:13    173K
wordgrinder-0.8-r2.apk                             25-Oct-2024 20:13    466K
wordgrinder-doc-0.8-r2.apk                         25-Oct-2024 20:13     18K
wpa_actiond-1.4-r7.apk                             25-Oct-2024 20:13     11K
wpa_actiond-openrc-1.4-r7.apk                      25-Oct-2024 20:13    2273
wput-0.6.2-r4.apk                                  25-Oct-2024 20:13     45K
wput-doc-0.6.2-r4.apk                              25-Oct-2024 20:13    8446
wroomd-0.1.0-r0.apk                                25-Oct-2024 20:13   1009K
wroomd-openrc-0.1.0-r0.apk                         25-Oct-2024 20:13    1744
wshowkeys-1.0-r0.apk                               25-Oct-2024 20:13     14K
wsmancli-2.6.2-r0.apk                              25-Oct-2024 20:13     18K
wsmancli-doc-2.6.2-r0.apk                          25-Oct-2024 20:13    3785
wtfutil-0.43.0-r12.apk                             12-Apr-2025 03:09     20M
x11docker-7.6.0-r1.apk                             25-Oct-2024 20:13    113K
x11docker-doc-7.6.0-r1.apk                         25-Oct-2024 20:13    9635
xa-2.4.1-r0.apk                                    25-Feb-2025 12:36     73K
xa-doc-2.4.1-r0.apk                                25-Feb-2025 12:36     17K
xcape-1.2-r0.apk                                   25-Oct-2024 20:13    7469
xcape-doc-1.2-r0.apk                               25-Oct-2024 20:13    2905
xcompmgr-1.1.9-r0.apk                              25-Oct-2024 20:13     16K
xcompmgr-doc-1.1.9-r0.apk                          25-Oct-2024 20:13    2671
xdg-ninja-0.2.0.2-r0.apk                           25-Oct-2024 20:13     70K
xed-3.8.2-r0.apk                                   05-Feb-2025 22:20      1M
xed-dev-3.8.2-r0.apk                               05-Feb-2025 22:20     14K
xed-doc-3.8.2-r0.apk                               05-Feb-2025 22:20    971K
xed-lang-3.8.2-r0.apk                              05-Feb-2025 22:20      2M
xed-python-3.8.2-r0.apk                            05-Feb-2025 22:20     24K
xendmail-0.4.3-r0.apk                              25-Oct-2024 20:13    982K
xendmail-doc-0.4.3-r0.apk                          25-Oct-2024 20:13    2588
xf86-video-vboxvideo-1.0.0-r1.apk                  25-Oct-2024 20:13     17K
xf86-video-vboxvideo-doc-1.0.0-r1.apk              25-Oct-2024 20:13    3272
xfce4-hamster-plugin-1.17-r0.apk                   25-Oct-2024 20:13     39K
xfce4-hamster-plugin-lang-1.17-r0.apk              25-Oct-2024 20:13    5187
xfce4-mixer-4.18.1-r2.apk                          25-Oct-2024 20:13     90K
xfce4-mixer-doc-4.18.1-r2.apk                      25-Oct-2024 20:13    2565
xfce4-mixer-lang-4.18.1-r2.apk                     25-Oct-2024 20:13     59K
xfce4-panel-profiles-1.0.14-r1.apk                 25-Oct-2024 20:13     57K
xfce4-panel-profiles-doc-1.0.14-r1.apk             25-Oct-2024 20:13     19K
xfce4-panel-profiles-lang-1.0.14-r1.apk            25-Oct-2024 20:13     44K
xfd-1.1.4-r0.apk                                   25-Oct-2024 20:13     14K
xfd-doc-1.1.4-r0.apk                               25-Oct-2024 20:13    5046
xgalaga-2.1.1.0-r1.apk                             25-Oct-2024 20:13    276K
xgalaga-doc-2.1.1.0-r1.apk                         25-Oct-2024 20:13    2611
xiccd-0.3.0_git20211219-r1.apk                     25-Oct-2024 20:13     18K
xiccd-doc-0.3.0_git20211219-r1.apk                 25-Oct-2024 20:13    3381
xisxwayland-2-r1.apk                               25-Oct-2024 20:13    4282
xisxwayland-doc-2-r1.apk                           25-Oct-2024 20:13    2025
xkb-switch-1.8.5-r0.apk                            25-Oct-2024 20:13     17K
xkb-switch-doc-1.8.5-r0.apk                        25-Oct-2024 20:13    1931
xlhtml-0.5.1-r0.apk                                25-Oct-2024 20:13     11K
xlhtml-doc-0.5.1-r0.apk                            25-Oct-2024 20:13    2568
xload-1.1.4-r0.apk                                 25-Oct-2024 20:13    6986
xload-doc-1.1.4-r0.apk                             25-Oct-2024 20:13    3283
xmag-1.0.8-r0.apk                                  25-Oct-2024 20:13     17K
xmag-doc-1.0.8-r0.apk                              25-Oct-2024 20:13    4856
xml2rfc-3.28.1-r0.apk                              18-Apr-2025 11:01    352K
xml2rfc-pyc-3.28.1-r0.apk                          18-Apr-2025 11:01    407K
xmp-4.2.0-r0.apk                                   25-Oct-2024 20:13     22K
xmp-doc-4.2.0-r0.apk                               25-Oct-2024 20:13    5445
xmpp-dns-0.2.4-r23.apk                             12-Apr-2025 03:09      2M
xmppipe-0.16.0-r1.apk                              25-Oct-2024 20:13     16K
xone-src-0.3_git20230517-r0.apk                    25-Oct-2024 20:13     43K
xonsh-0.19.1-r0.apk                                05-Feb-2025 22:20    585K
xonsh-pyc-0.19.1-r0.apk                            05-Feb-2025 22:20      1M
xosview-1.24-r0.apk                                25-Oct-2024 20:13    144K
xosview-doc-1.24-r0.apk                            25-Oct-2024 20:13     13K
xsane-0.999-r2.apk                                 25-Oct-2024 20:13      1M
xsane-doc-0.999-r2.apk                             25-Oct-2024 20:13    4414
xsane-lang-0.999-r2.apk                            25-Oct-2024 20:13    440K
xsecurelock-1.9.0-r1.apk                           25-Oct-2024 20:13     65K
xsecurelock-doc-1.9.0-r1.apk                       25-Oct-2024 20:13     18K
xsoldier-1.8-r2.apk                                25-Oct-2024 20:13     67K
xsoldier-doc-1.8-r2.apk                            25-Oct-2024 20:13    2683
xtensor-0.25.0-r0.apk                              15-Jan-2025 02:52    267K
xtl-0.7.7-r0.apk                                   25-Oct-2024 20:13    111K
xva-img-1.5-r0.apk                                 25-Oct-2024 20:13     18K
xvidtune-1.0.4-r0.apk                              25-Oct-2024 20:13     17K
xvidtune-doc-1.0.4-r0.apk                          25-Oct-2024 20:13    4274
xvile-9.8z_p1-r0.apk                               20-Mar-2025 03:18    798K
xvkbd-4.1-r2.apk                                   25-Oct-2024 20:13    293K
xvkbd-doc-4.1-r2.apk                               25-Oct-2024 20:13     11K
xwayland-satellite-0.5.1-r0.apk                    02-Mar-2025 23:01    832K
xwaylandvideobridge-0.4.0-r1.apk                   25-Oct-2024 20:13     50K
xwaylandvideobridge-lang-0.4.0-r1.apk              25-Oct-2024 20:13     11K
yamkix-0.10.0-r1.apk                               25-Oct-2024 20:13     14K
yamkix-pyc-0.10.0-r1.apk                           25-Oct-2024 20:13     12K
yarn-berry-4.9.1-r0.apk                            15-Apr-2025 21:57      1M
yaru-common-23.10.0-r2.apk                         13-Mar-2025 06:06    4581
yaru-icon-theme-23.10.0-r2.apk                     13-Mar-2025 06:06     35M
yaru-icon-theme-bark-23.10.0-r2.apk                13-Mar-2025 06:06      1M
yaru-icon-theme-blue-23.10.0-r2.apk                13-Mar-2025 06:06      1M
yaru-icon-theme-magenta-23.10.0-r2.apk             13-Mar-2025 06:06      1M
yaru-icon-theme-mate-23.10.0-r2.apk                13-Mar-2025 06:06      1M
yaru-icon-theme-olive-23.10.0-r2.apk               13-Mar-2025 06:06      1M
yaru-icon-theme-prussiangreen-23.10.0-r2.apk       13-Mar-2025 06:06      1M
yaru-icon-theme-purple-23.10.0-r2.apk              13-Mar-2025 06:06      1M
yaru-icon-theme-red-23.10.0-r2.apk                 13-Mar-2025 06:06      1M
yaru-icon-theme-sage-23.10.0-r2.apk                13-Mar-2025 06:06      1M
yaru-icon-theme-viridian-23.10.0-r2.apk            13-Mar-2025 06:06      1M
yaru-schemas-23.10.0-r2.apk                        13-Mar-2025 06:06    1834
yaru-shell-23.10.0-r2.apk                          13-Mar-2025 06:06    735K
yaru-sounds-23.10.0-r2.apk                         13-Mar-2025 06:06    676K
yaru-theme-23.10.0-r2.apk                          13-Mar-2025 06:06    839K
yaru-theme-bark-23.10.0-r2.apk                     13-Mar-2025 06:06    763K
yaru-theme-blue-23.10.0-r2.apk                     13-Mar-2025 06:06    765K
yaru-theme-hdpi-23.10.0-r2.apk                     13-Mar-2025 06:06     73K
yaru-theme-magenta-23.10.0-r2.apk                  13-Mar-2025 06:06    761K
yaru-theme-mate-23.10.0-r2.apk                     13-Mar-2025 06:06    727K
yaru-theme-olive-23.10.0-r2.apk                    13-Mar-2025 06:06    759K
yaru-theme-prussiangreen-23.10.0-r2.apk            13-Mar-2025 06:06    760K
yaru-theme-purple-23.10.0-r2.apk                   13-Mar-2025 06:06    756K
yaru-theme-red-23.10.0-r2.apk                      13-Mar-2025 06:06    760K
yaru-theme-sage-23.10.0-r2.apk                     13-Mar-2025 06:06    762K
yaru-theme-viridian-23.10.0-r2.apk                 13-Mar-2025 06:06    760K
yazi-25.4.8-r0.apk                                 11-Apr-2025 18:13      5M
yazi-bash-completion-25.4.8-r0.apk                 11-Apr-2025 18:13    2159
yazi-cli-25.4.8-r0.apk                             11-Apr-2025 18:13   1005K
yazi-doc-25.4.8-r0.apk                             11-Apr-2025 18:13    2315
yazi-fish-completion-25.4.8-r0.apk                 11-Apr-2025 18:13    1895
yazi-zsh-completion-25.4.8-r0.apk                  11-Apr-2025 18:13    2234
ydcv-0.7-r8.apk                                    25-Oct-2024 20:13     20K
ydcv-pyc-0.7-r8.apk                                25-Oct-2024 20:13     11K
ydcv-zsh-completion-0.7-r8.apk                     25-Oct-2024 20:13    2205
yeti-1.1-r0.apk                                    25-Oct-2024 20:13    816K
yices2-2.6.5-r0.apk                                02-Mar-2025 23:05      2M
yices2-dev-2.6.5-r0.apk                            02-Mar-2025 23:05     41K
yices2-libs-2.6.5-r0.apk                           02-Mar-2025 23:05    880K
ymuse-0.22-r0.apk                                  13-Apr-2025 23:48      4M
yodl-4.02.00-r1.apk                                25-Oct-2024 20:13    152K
yodl-doc-4.02.00-r1.apk                            25-Oct-2024 20:13     59K
yoshimi-2.3.3.3-r0.apk                             13-Mar-2025 22:33      6M
yoshimi-doc-2.3.3.3-r0.apk                         13-Mar-2025 22:33      5M
yosys-0.42-r0.apk                                  25-Oct-2024 20:13     19M
yosys-dev-0.42-r0.apk                              25-Oct-2024 20:13    119K
youki-0.4.1-r0.apk                                 25-Oct-2024 20:13      2M
youki-dbg-0.4.1-r0.apk                             25-Oct-2024 20:13    4710
youtube-tui-0.8.1-r0.apk                           27-Feb-2025 12:29      1M
youtube-viewer-3.11.1-r0.apk                       25-Oct-2024 20:13     83K
youtube-viewer-doc-3.11.1-r0.apk                   25-Oct-2024 20:13     41K
youtube-viewer-gtk-3.11.1-r0.apk                   25-Oct-2024 20:13    171K
ytmdl-2024.08.15.1-r0.apk                          25-Oct-2024 20:13     50K
ytmdl-bash-completion-2024.08.15.1-r0.apk          25-Oct-2024 20:13    2291
ytmdl-pyc-2024.08.15.1-r0.apk                      25-Oct-2024 20:13     78K
ytmdl-zsh-completion-2024.08.15.1-r0.apk           25-Oct-2024 20:13    2132
yubikey-agent-0.1.6-r11.apk                        12-Apr-2025 03:09      2M
z-1.12-r0.apk                                      25-Oct-2024 20:13    4717
z-doc-1.12-r0.apk                                  25-Oct-2024 20:13    4011
zafiro-icon-theme-1.3-r0.apk                       25-Oct-2024 20:13     19M
zapret-0.0.0_git20220125-r1.apk                    25-Oct-2024 20:13     90K
zapret-doc-0.0.0_git20220125-r1.apk                25-Oct-2024 20:13     98K
zapret-openrc-0.0.0_git20220125-r1.apk             25-Oct-2024 20:13    2133
zapzap-6.0.1.8-r0.apk                              13-Apr-2025 23:48    109K
zapzap-pyc-6.0.1.8-r0.apk                          13-Apr-2025 23:48    126K
zarchive-0.1.2-r2.apk                              25-Oct-2024 20:13     17K
zarchive-dev-0.1.2-r2.apk                          25-Oct-2024 20:13    6920
zarchive-libs-0.1.2-r2.apk                         25-Oct-2024 20:13     26K
zfs-src-2.2.4-r1.apk                               09-Jan-2025 11:46     32M
zfsbootmenu-2.3.0-r1.apk                           25-Oct-2024 20:13    128K
zfsbootmenu-doc-2.3.0-r1.apk                       25-Oct-2024 20:13     16K
zile-2.6.2-r1.apk                                  25-Oct-2024 20:13    120K
zile-doc-2.6.2-r1.apk                              25-Oct-2024 20:13     16K
zita-njbridge-0.4.8-r1.apk                         25-Oct-2024 20:13     32K
zita-njbridge-doc-0.4.8-r1.apk                     25-Oct-2024 20:13    5362
zita-resampler-1.11.2-r0.apk                       14-Apr-2025 21:16     21K
zita-resampler-dev-1.11.2-r0.apk                   14-Apr-2025 21:16    3332
zita-resampler-doc-1.11.2-r0.apk                   14-Apr-2025 21:16    4137
zlevis-1.3-r0.apk                                  14-Mar-2025 17:31    5352
znc-backlog-0_git20210503-r8.apk                   25-Oct-2024 20:13     23K
znc-clientbuffer-1.0.48-r8.apk                     25-Oct-2024 20:13     19K
znc-playback-0_git20210503-r8.apk                  25-Oct-2024 20:13     21K
znc-push-0_git20220823-r8.apk                      25-Oct-2024 20:13     97K
zrepl-0.6.1-r10.apk                                12-Apr-2025 03:09      7M
zrepl-bash-completion-0.6.1-r10.apk                12-Apr-2025 03:09    4579
zrepl-openrc-0.6.1-r10.apk                         12-Apr-2025 03:09    1770
zrepl-zsh-completion-0.6.1-r10.apk                 12-Apr-2025 03:09    1995
zsh-fzf-tab-0_git20220331-r1.apk                   25-Oct-2024 20:13     16K
zsh-histdb-skim-0.8.6-r0.apk                       25-Oct-2024 20:13    746K
zsh-manydots-magic-0_git20230607-r1.apk            25-Oct-2024 20:13    2935
zvbi-0.2.44-r0.apk                                 11-Mar-2025 20:28    170K
zvbi-doc-0.2.44-r0.apk                             11-Mar-2025 20:28     21K
zycore-1.5.0-r0.apk                                25-Oct-2024 20:13     23K
zycore-dev-1.5.0-r0.apk                            25-Oct-2024 20:13     38K
zycore-doc-1.5.0-r0.apk                            25-Oct-2024 20:13    394K
zydis-4.1.0-r0.apk                                 25-Oct-2024 20:13    205K
zydis-dev-4.1.0-r0.apk                             25-Oct-2024 20:13     61K
zydis-doc-4.1.0-r0.apk                             25-Oct-2024 20:13      2M